QoR

Related by string. * * results QoR *

Related by context. Frequent words. (Click for all words.) 64 datapath 62 PCB layout 61 netlists 61 linearization 61 clock gating 60 noise ratio SNR 59 SystemC models 59 logic synthesis 59 Xilinx FPGA 59 HSPICE 59 netlist 58 reconfigurability 58 DMIPS 58 parasitic extraction 58 #ns [002] 58 leakage currents 58 Synopsys Galaxy 58 thermal dissipation 58 C# DSP 58 testability 58 Olympus SoC 57 MicroBlaze 57 deep submicron 57 hardware accelerators 57 pulse width modulation 57 custom ASICs 57 floorplanning 57 latencies 57 DrMOS 57 impedance matching 57 optimizations 56 Cynthesizer 56 ASIC prototyping 56 testbenches 56 SERDES 56 Cadence Virtuoso 56 optimiser 56 parameterization 56 memory subsystem 56 jitter tolerance 56 TSMC Reference Flow 55 GDSII 55 defectivity 55 PSRR 55 CMOS logic 55 serializer 55 IC Compiler 55 OpenGL graphics 55 ispLEVER 55 PSoC Express 55 NI TestStand 55 power dissipation 55 ADA# 55 Xilinx FPGAs 55 TAS# [001] 54 Gbits s 54 singlemode 54 analog circuitry 54 parasitics 54 FPGA synthesis 54 analog circuits 54 DSP FPGA 54 Catapult C 54 RoCE 54 RISC processor 54 testbench 54 chip SoCs 54 RDS ON 54 cluster interconnect 54 output voltage 54 programmability 54 lumen maintenance 54 pixel shaders 53 serial transceivers 53 PWM controller 53 pulse width modulation PWM 53 SoC designs 53 multicore architectures 53 DSP algorithms 53 PCIe interface 53 #dBc 53 parallelization 53 synthesizable 53 positional accuracy 53 Cortex A8 processor 53 I2C interface 53 XcelaSAN 53 impedances 53 multithreaded applications 53 PX# [003] 53 DAC# 53 Stratix II 53 synchronous rectification 53 #μA [002] 53 Quartus II software 53 linear scalability 53 tapeout 53 de interlacing 53 DDR3 modules 53 AMD Direct Connect 53 HardCopy

Back to home page