tapeout

Related by string. tapeouts * * tapeout schedules *

Related by context. Frequent words. (Click for all words.) 65 SoC designs 61 manufacturability 61 GDSII 59 SoC 58 netlist 56 IC Compiler 56 debug 56 #nm CMOS [001] 55 structured ASIC 55 #nm [001] 55 chip SoC designs 55 virtual prototyping 54 SiP 54 Simics 54 testbench 54 SoC designers 53 EDA tools 53 #.#μm [002] 53 ASICs 52 SoCs 52 Xilinx FPGAs 52 FPGA 52 #nm node [001] 52 prototyping 51 EDA vendors 51 Reference Flow 51 programmability 51 BiCMOS 50 testability 50 analog circuits 50 FPGAs 50 power dissipation 50 RFIC 50 Synopsys 49 #ns [001] 49 TSVs 49 signoff 49 SerDes 49 debugging 49 ChipX 49 multicore processor 48 Synplicity 48 basestation 48 #.#um [002] 48 Fujitsu Microelectronics 48 nm node 48 nanometer nm 48 circuit IC 48 TSMC 48 SpringSoft 48 optimizations 48 Verisity 48 eASIC 48 IP cores 47 RF CMOS 47 VeriSilicon 47 Real Intent 47 photomask 47 SERDES 47 #G EPON 47 SRAMs 47 geometries 47 conformance testing 47 materials BOM 47 Xilinx 47 manufacturable 46 baseband chip 46 nanometer 46 chip SoC 46 Ubicom 46 epitaxy 46 boundary scan 46 SiGe 46 RFICs 46 nm 46 wafer fabs 46 parallelization 46 embedded DRAM 46 multiprocessor 46 multithreading 46 CPLD 46 baseband 45 silicon 45 implementations 45 PHY 45 fabs 45 multicore 45 TowerJazz 45 coprocessor 45 DFT 45 PLLs 45 Simulink 45 programmable logic 45 EUV lithography 45 CoWare 45 CMOS 45 throughput 45 chip SOC 45 GLOBALFOUNDRIES 45 Kilopass 45 Sequans

Back to home page