testability

Related by string. Testability * * testability transition *

Related by context. Frequent words. (Click for all words.) 59 BIST 58 boundary scan 57 manufacturability 56 logic synthesis 56 Synopsys Galaxy 55 Boundary Scan 55 datapath 55 SerDes 54 testbench 53 structured ASICs 53 IEEE #.# [002] 53 noise ratio SNR 53 programmability 52 floorplanning 52 PHYs 52 electromagnetic compatibility 52 SoC designs 52 SDH SONET 51 electromagnetic interference EMI 51 GDSII 51 debug 51 analog circuits 51 power dissipation 51 serial interfaces 51 interleaving 51 loopback 50 tapeout 50 parasitics 50 debugging tools 50 netlist 50 reliability 50 Xilinx FPGAs 50 chip SoC designs 50 clock gating 50 optical interconnect 50 linearization 49 macrocell 49 SERDES 49 XAUI 49 EDA tools 49 ANSI C 49 reproducibility 49 Spirent TestCenter 49 topology 49 op amp 49 thermal dissipation 49 #-Gbit/sec 49 ASICs 48 modularity 48 upgradeability 48 baseband processing 48 modulation schemes 48 Quartus II software 48 PHY 48 parallelization 48 jitter 48 extendibility 48 FPGA 48 PLDs 48 warpage 48 IC Compiler 48 spectral efficiency 47 backplane 47 robustness 47 CMOS logic 47 op amps 47 operational amplifiers 47 linearity 47 optocoupler 47 demodulation 47 debugging 47 SoC 47 ESD protection 47 virtual prototyping 47 throughput 47 parametric 47 CPLD 47 FPGAs 47 repeatability 47 conformance testing 47 operability 47 backplanes 47 scalability 47 I2C interface 46 ARM Cortex M3 46 temperature coefficient 46 3GPP LTE 46 #ns [002] 46 MAX# [001] 46 VSWR 46 bi directional communication 46 Serial RapidIO 46 iteratively 46 deterministic 46 smaller geometries 46 interconnects 46 JTAG 46 electrostatic discharge ESD 46 DFT 46 optimizations 46 bend radius

Back to home page