GLOBALFOUNDRIES #nm

Related by string. * Global Foundries . Globalfoundries . GlobalFoundries : AMD GlobalFoundries SEMATECH . Company ATIC GLOBALFOUNDRIES . GLOBALFOUNDRIES Fab . GLOBALFOUNDRIES Singapore . GLOBALFOUNDRIES / #Nm [001] . #nm [002] . #nm [003] . #Nm [002] . #Nm [003] . #NM [001] . #nm [001] : #nm NAND flash . #nm GPU . #nm wavelength [001] . #nm DRAM . #Nm torque . #nm NAND . #nm Quad Core * *

Related by context. All words. (Click for frequent words.) 68 HKMG 66 #.# micron CMOS 66 UMC #nm 66 Electron Mobility Transistor 65 high voltage BCDMOS 65 CellMath IP 65 PHEMT 65 SOI CMOS 65 #nm CMOS [002] 64 #nm silicon 64 TSMC #nm [001] 64 5V CMOS 63 voltage CMOS 63 HVIC 63 nanometer silicon 63 oxide semiconductor 63 #/#-nanometer 63 metal gate HKMG 63 pMOS 62 CMOS fabrication 62 kit PDK 62 #.#μm CMOS process 62 programmable SoC 62 BiFET 62 pHEMT 62 gate dielectrics 62 k dielectrics 62 Field Effect Transistors 62 k dielectric 62 silicon germanium SiGe BiCMOS 62 Field Effect Transistor 62 nMOS 62 #.#μ 62 k gate dielectric 62 Configurable Logic 62 #.#um CMOS 62 SiON 62 Actel Fusion 62 Bipolar CMOS DMOS BCD 62 deep sub micron 62 MESFET 62 UMC #.#um 61 parasitic extraction 61 nm CMOS process 61 Thyristor 61 Solido Variation Designer 61 high-k/metal-gate 61 JFET 61 Low Leakage 61 high-k/metal gate 61 nickel silicide 61 SiGe bipolar 61 SMIC #.#um 61 Insulator SOI 61 #nm immersion lithography 60 InGaP 60 Physical Verification 60 HKMG technology 60 Embedded DRAM 60 RF Microwave 60 coprocessing 60 InGaP HBT 60 Inductance 60 NiSi 60 FinFETs 60 Cadence Incisive 60 deep submicron 60 HEMT 60 copper metallization 60 #.#u 60 Structured eASIC 60 Flip Chip 60 TSMC #nm process 60 Bipolar Transistor 60 IntelliMAX 60 planarization 60 dual damascene 60 monolithically integrated 59 Atrenta SpyGlass 59 SiGe C 59 Embedded Array 59 FinFET 59 Talus Vortex 59 FDSOI 59 PyCell 59 mechanical polishing CMP 59 SOPC Builder 59 Silicon Germanium 59 hafnium oxide 59 Physical Layer PHY 59 TSMC #nm LP 59 low k dielectrics 59 Inductors 59 DFM DFY 59 #nm RF CMOS 59 Design Kit PDK 59 TSMC #.#um 59 metallisation 59 AEL# 59 millisecond anneal 59 silicon oxynitride SiON 59 DDR PHY 59 BGA packaging 59 #nm/#nm 59 Memory Controllers 59 #LP [002] 59 chip SoCs 59 RTL synthesis 59 High Voltage CMOS 59 deep submicron CMOS 59 IC Validator 59 ARM#EJ processor 59 monolithic microwave integrated 59 BiCMOS 59 Powerful debug 59 k gate dielectrics 59 line BEOL 58 AccelArray 58 Stratix III FPGAs 58 photomultipliers 58 Silicon Oxide Nitride 58 #nm SOI 58 RFCMOS 58 PIN photodiodes 58 tunable RF 58 CMOS logic 58 algorithmic synthesis 58 .# micron 58 phototransistors 58 PCB layout 58 dielectric materials 58 LDMOS 58 Accuracy Tracking HAT 58 RTL Compiler 58 DrMOS 58 QuickCap NX 58 On Insulator SOI 58 nm SOI 58 iCoupler 58 RFI EMI 58 #nm DRAM 58 Synopsys Galaxy 58 bipolar transistors 58 Actel FPGA 58 MOS transistors 58 MB#R# 58 Cable Assemblies 58 FPGA prototyping 58 Photolithography 58 Packet Processor 58 transparent conductive coatings 58 customizable dataplane processor 58 bipolar CMOS DMOS 58 Voltage Regulator 58 TVS Diodes 58 SOI silicon 58 Complementary Metal Oxide Semiconductor 58 indium gallium phosphide InGaP 58 ARM#EJ S processor 58 insulator SOI technology 58 Single Wafer 58 Vapor Phase 58 Input Voltage 58 Cadence Encounter 58 finite element modeling 58 synchronous buck controller 58 CMOS transistors 58 CMOS Silicon 58 SIMOX 58 electron optics 58 #bit MCUs 58 Encounter Timing System 58 fpgas 58 RFMD GaN 58 ARM Artisan 57 GaAs GaN 57 Encapsulant 57 Optical Amplifier 57 thermo mechanical 57 EMI Filters 57 Cadence SoC Encounter 57 threshold voltages 57 QRC Extraction 57 Mixed Signal IC 57 Cadence QRC Extraction 57 Synopsys IC Compiler 57 Signal Analyzer 57 singlemode 57 Tunable Laser Assembly 57 #nm CMOS [001] 57 mask aligner 57 PCell 57 Synopsys DFM 57 DongbuAnam 57 GaAs MESFET 57 Double Patterning 57 Kilopass XPM 57 FPGA DSP 57 #nm LPe process 57 RF CMOS 57 nanometer CMOS 57 silicon oxynitride 57 nanopositioning stages 57 Schottky 57 optical waveguides 57 RF transistors 57 #.#um [001] 57 Wafer Level Optics 57 leakage currents 57 tunable filters 57 SiC MOSFET 57 deep silicon etch 57 Hi Rel 57 LatticeECP3 ™ 57 planar CMOS 57 Stratix III 57 Operational Amplifier 57 Atmel CAP 57 SiWare 57 MOS transistor 57 Star RCXT 57 embedded processor cores 57 Flexfet 57 Design Kits 57 DSP FPGA 57 Agilent Technologies Introduces 57 laterally diffused metal 57 RealTime Designer 57 embedded EEPROM 57 Sequans SQN# 57 Mach Zehnder modulator 57 UltraCMOS 57 DSP algorithm 57 silicon germanium SiGe 57 LDMOS RF power 57 Laser Diode Driver 57 CRIUS 57 #nm #nm #nm 57 Texas Instruments OMAP# 57 MAX# integrates 57 laser micromachining 57 electrochemical deposition 57 nano patterning 57 Cadence Encounter digital 57 capacitors inductors 57 PolarPro 57 TSMC #nm G 57 IGBT Insulated Gate 57 #nm MLC 57 DPN ® 56 ARM#EJ S 56 computational lithography 56 Switched Mode 56 Praetorian TM 56 #nm SoC 56 DMOS 56 Virtuoso Multi 56 ASMBL architecture 56 Evaluation Module 56 #.#um [002] 56 #nm #nm [005] 56 Application Specific Integrated Circuits 56 Laser Diode 56 wavelength tunability 56 mux demux 56 design kits PDKs 56 Altera HardCopy 56 MEMS resonators 56 GaN HEMT 56 TJA# 56 TAS# [001] 56 Ge substrates 56 #nm SRAM 56 RF Power Amplifier 56 #nm LL 56 #nm nodes 56 DfM 56 Mbit SRAMs 56 IEC #-# [001] 56 equivalence checking 56 dielectric etch 56 SynTest 56 Intel LGA# [001] 56 ASIC FPGA 56 silicon oscillators 56 Chemical Mechanical Polishing 56 wafer dicing 56 JTAG Boundary Scan 56 TiN 56 Oxide Silicon 56 VI BRICK 56 Strained silicon 56 #.#μm [002] 56 Reflow Oven 56 nanoimprint 56 Kit RDK 56 #nm photomask 56 Deep Reactive Ion Etch 56 Differential Quadrature Phase 56 PCI Express PHY 56 SoC Encounter 56 Cree GaN 56 Zroute 56 Magma Talus 56 #.#μm CMOS 56 Cadence Encounter RTL Compiler 56 magnetron sputtering 56 centrifugal compressor 56 nm CMOS 56 #G OTN [002] 56 MTCMOS 56 RF LDMOS 56 #nm Process 56 HardCopy II 56 FineSim Pro 56 memory compilers 56 Compact PCI 56 absorption spectroscopy 56 Vishay Siliconix 56 Dip Pen Nanolithography ® 56 Altera Stratix IV 56 CMOS compatible 56 PMICs 56 PSpice 56 silicon CMOS 56 COTS FPGA 56 Actel FPGAs 56 GaAs InP 56 Xtensa processor 56 Unidirectional 56 TQP# 56 CyberDisplay #K 56 #nm FPGAs 56 HIT Kit 56 Chemical Vapor Deposition CVD 56 clockless 56 CS#L# 56 ECPR 56 Stepper Motor 56 microcavities 56 epitaxy HVPE 56 Altera Quartus II 56 BEOL 56 serdes 56 BCDMOS 56 Efficeon TM# 56 multichip 56 Combiners 56 SiliconSmart 56 Cadence Virtuoso 56 uniaxial strain 56 Mixed Signal Design 56 SiPs 56 Actel ProASIC3 56 submicron 56 X7R 56 multibit 56 embedded passives 56 DSP Builder 55 Freescale MSC# 55 RTL verification 55 Rad Hard 55 HSIM 55 Optocoupler 55 NXP Nexperia 55 adaptive equalization 55 CellMath Designer 55 HfSiON 55 ARM#T# S processor 55 MMICs 55 Tachyon OPC + 55 HCS# 55 #.# micron SiGe 55 Insulator 55 multilayer ceramic 55 NanoSim 55 Fault Tolerant 55 μTCA 55 thermoset composite 55 package SiP 55 dynamically reconfigurable 55 structured ASIC 55 Thermally Conductive 55 reconfigurable computing 55 VECTOR Express 55 ARM#EJ 55 electro optic polymer 55 Gallium Nitride 55 Tunable 55 vectorless 55 #nm 1Gb 55 Impinj AEON 55 #.#μm [001] 55 SOI Silicon 55 SPICE simulator 55 Xilinx FPGA 55 PowerTheater Explorer 55 Bipolar CMOS DMOS 55 Encounter RTL Compiler 55 NanoTime 55 opto mechanical 55 daughtercards 55 electron mobility 55 embedded nonvolatile memory 55 DesignWare Verification IP 55 PowerPro MG 55 ARM# MPCore processor 55 SiliconSystems SiliconDrive 55 filament winding 55 Altera Stratix II 55 HEMTs 55 Gallium Nitride GaN 55 Industry Highest Density 55 photolithographic 55 serial backplane 55 CAN transceivers 55 MultiCore 55 Structured ASIC 55 Sequence PowerTheater 55 dielectrics 55 Stratix II GX FPGAs 55 silicide 55 OpenAccess database 55 Stratix IV FPGA 55 silicon interposer 55 capacitances 55 TetraMAX ATPG 55 K dielectrics 55 Spintronic 55 C#x DSP 55 JESD# [001] 55 AMS RF 55 high-k/metal gate HKMG 55 TGA# SL 55 CorEdge 55 Mentor Calibre 55 #nm geometries 55 insulator SOI 55 Gallium Arsenide 55 QMEMS 55 ESD Protection 55 GDSII 55 partial reconfiguration 55 Power MOSFET 55 #nm [001] 55 Plasmonic 55 Power Format UPF 55 SMARTMOS 55 ATI PowerPlay ™ 55 workstation graphics accelerators 55 SAR ADC 55 PIN diodes 55 amplifier ICs 55 Altera FPGAs 55 hydride vapor phase 55 EM simulation 55 Vertical Cavity Surface Emitting 55 Pressure Transducer 55 SystemWeaver 55 CMOS silicon 55 microfabrication techniques 55 8bit MCU 55 wirebond 55 TestKompress 55 PICO Extreme 55 HV CMOS 55 Epitaxial 55 AirMax VS 55 manganite 55 SystemVerilog verification 55 Tensilica Xtensa 55 EMI electromagnetic interference 55 Peregrine UltraCMOS 55 #nm #nm [004] 55 LatticeEC 55 Vdd 55 GDSII flow 55 Metallization 55 GbE controller 55 MirrorBit Eclipse 55 baseband LSI 55 NMOS 55 XA Spartan 3A 55 FPGA ASIC 55 Virtual HBA 55 motion adaptive deinterlacing 55 FEOL 55 ProcessorPM 55 Optima HDx 55 OptoCooler HV# 55 ESL synthesis 55 InSb 55 ARM# [001] 55 geometries shrink 55 Altera Stratix III 55 SERDES 55 RoHS Compliant 55 DIN Rail 55 PowerMAX 55 MirrorBit NOR 55 LSA#A 55 Wafer Bonding 55 Land Grid Array 55 Demodulator 55 pseudo SRAM 55 Sensor Interface 54 Transmit Receive 54 PLL Noise Analyzer 54 microbolometer 54 HYDRAstor HS8 54 LDMOS devices 54 Stratix FPGAs 54 TECHSPEC 54 #nm HKMG 54 Calibre DFM 54 Rapid prototyping 54 F3D 54 PowerPAK 54 Olympus SoC 54 Isolators 54 High Linearity 54 Multi Layer 54 aluminum nitride 54 Spartan 3AN 54 extendible cores assist 54 Intel IBIST 54 subrack 54 ProASIC3 devices 54 Copper Indium Gallium Selenide 54 Highly Efficient 54 Liquid Cooling 54 PowerTheater 54 Perkinamine 54 nitride semiconductor 54 Wafer Level 54 Multi Gigabit 54 SiGe BiCMOS 54 Encounter Conformal 54 Laser Modules 54 Silicon Carbide 54 Ultra Miniature 54 organic photovoltaics OPV 54 MirrorBit ORNAND 54 #HT [003] 54 Optical Modulator 54 Gallium Arsenide GaAs 54 ArF immersion lithography 54 SiGe BiCMOS SiGe 54 NOVeA 54 VME#x 54 LabVIEW FPGA Module 54 Polycrystalline 54 GaAs FET 54 Multiprocessing 54 TrueStore 54 1T FLASH 54 #nm nanometer 54 Novellus SABRE 54 nano imprint 54 nm nodes 54 PowerPro CG 54 CIMPortal 54 carbon nanotube CNT 54 Metamaterial 54 Aixtron MOCVD 54 Verilog RTL 54 J#Ex 54 Toroidal 54 LPCVD 54 2Xnm 54 CCM PFC 54 Inductor 54 Attenuator 54 custom ASICs 54 Datamate 54 flexible monolithically integrated 54 PoE PD 54 GaAs MMIC 54 CoWare ConvergenSC 54 CustomSim 54 insert molding 54 Selective Laser Sintering SLS 54 Goepel Electronic 54 HDS# 54 #xA 54 Xilinx Virtex 5 54 #nm MirrorBit 54 Model #A 54 IGP chipset 54 Dual Voltage 54 voltage HV 54 FastSPICE 54 ownership CoO 54 Supersystems 54 Marvell #DE# 54 Methodology Kit 54 Packet Processing 54 MSP# MCU 54 Bus Converters 54 Polymer Tantalum 54 Conduction Cooled 54 laser scribing 54 Reference Designs 54 3Xnm 54 Cortex M1 54 SiWare Memory compilers 54 PCB Layout 54 microstrip 54 underfill 54 EUV masks 54 ® vPro ™ 54 QorIQ processors 54 mosfet 54 antimonide 54 MicroBlaze processor 54 FD SOI 54 CompactPCI ® 54 innovative Buried Wordline 54 oxide thickness 54 #nm node [002] 54 weldability 54 AMCC QT# 54 InfiniBand Adapters 54 furnaceware 54 reflow solder 54 C BiCMOS 54 GaN transistors 54 iMB 54 MB#Q# 54 gear reducers 54 CdSe 54 stereo codec 54 optical subassemblies 54 HDP CVD 54 ARM#JZF S processor 54 selective emitter 54 transistor HEMT 54 Backplane 54 serializer deserializer 54 SignalExpress 54 DRC LVS 54 resistive element 54 Diode Laser 54 Nanostructure 54 #nm node [001] 54 Indium Phosphide InP 54 Gallium arsenide 54 FineSim SPICE 54 III nitride 54 MVSIM 54 emission wavelength 54 geometric modeling 54 CoWare Platform Architect 54 LTE UE 54 ARM# [003] 54 Cadence Allegro 54 Medium Voltage 54 diffractive optical elements 54 Metrology System 54 Aluminum Nitride 54 GX FPGA 54 epi wafers 54 Arria GX FPGAs 54 Photonic Crystals 54 monolithic CMOS 54 VeloceRF 54 NI Multisim 54 synthesizable IP 54 XFP module 54 BIST 54 through silicon vias 54 Permanent Magnet 54 Flex OneNAND 54 Stratix IV GX 54 schematic capture 54 GaP 54 Micromachining 54 RedHawk SDL 54 Explosion Proof 54 HVICs 54 Fujitsu Develops 54 HPLC columns 54 UltraCMOS TM 54 mask reconfigurable 54 Talus Vortex FX 54 Heat Dissipation 54 nm DRAM 54 Clear Shape 54 microcavity 54 InGaN 53 Agilent N#B 53 QDRII + 53 #/#nm 53 Cadence Encounter Digital 53 wafer bonder 53 Strained Silicon 53 Leadless 53 foil resistor 53 TCP offload 53 SOI MEMS 53 indium phosphide InP 53 Calibre LFD 53 AT# [001] 53 Cortex M4 53 sigma delta 53 ARM# ™ 53 millisecond annealing 53 4KEc 53 IC Compiler #.# 53 Design Methodologies 53 Waveguides 53 FPGA Evaluation Kit 53 Mode Simulation 53 Evaluation Kits 53 Memory Interface 53 FETs 53 CMOS oscillators 53 Signal Conditioners 53 CS# [002] 53 Freescale i.MX# [002] 53 Array FPGA 53 Intel #P chipset [001] 53 extruded profiles 53 Signal Generator 53 DPSK 53 Buried Wordline technology 53 bit RISC processor 53 INTRINSIC 53 EMI shielding 53 Switch Mode 53 SpyGlass Power 53 ConvergenSC 53 Apache RedHawk 53 Interpolation 53 PHY# [001] 53 transformerless 53 Xiotech ISE 53 ARM7TDMI processor 53 InP HBT 53 '# patent relates 53 Quartz LVS 53 WinPath3 SuperLite 53 defect densities 53 RF amplifier 53 ASIC SoC 53 Optocouplers 53 FPGA architectures 53 Electrode 53 Atrenta logo SpyGlass 53 #GBASE T PHY 53 TMS#DM# [002] 53 polymer matrices 53 Cortex M3 core 53 Silicon Germanium SiGe 53 JESD# [002] 53 Metal Oxide Semiconductor 53 A9 processor 53 Microfluidic 53 Manufacturability 53 TMS#C#x + 53 DEV DA TOMAR NEXT 53 GaN HEMTs 53 Tunable Laser 53 pre preg 53 Silicon CMOS Photonics 53 SAE Magnetics 53 TDA# [002] 53 tunable optical 53 Fast Fourier Transform FFT 53 linearly scalable 53 Fusiv 53 VME VXS 53 Waveguide 53 results QoR 53 SE#L 53 2μm 53 iridix 53 SmartReflex 53 XT #i 53 Structured ASICs 53 Xeon Processors 53 Multilayer Ceramic 53 Stratix II FPGAs 53 ARM9 core 53 Ultra Low Noise 53 dsPIC#F 53 overmolding 53 Reference Methodology 53 SPS# 53 1Team 53 Simucad 53 Bi Directional 53 Docea 53 TEOS 53 NAND NOR 53 nonpolar GaN 53 BLDC motor 53 InAs 53 3U rack mount 53 Polymeric 53 ZnSe 53 Schottky Diodes 53 nanometer nm 53 photoresist stripping 53 DDR2 memory controller 53 Immersion Lithography 53 narrow linewidth 53 High Temperature Superconductors 53 Frequency Synthesizer 53 Tensilica processors 53 nanofilm 53 Blackfin Processors 53 XtremeDSP 53 planar waveguide 53 advanced leadframe 53 Agilent B#A 53 Isolation Memory Buffer 53 HardCopy ASIC 53 Non Volatile Memory 53 tolerancing 53 optocoupler 53 Application Specific 53 Lumiramic phosphor technology 53 LiNbO3 53 VCSELs 53 WindRiver VxWorks 53 RTAX#S 53 XLPE 53 Tensilica DPUs 53 MIPS# architecture 53 CMOS RF 53 picoArray 53 Toshiba Develops 53 LatticeECP3 53 Verification Components 53 CSR BlueCore5 Multimedia 53 QorIQ platforms 53 Tunable XFP 53 PSoC architecture 53 HLNAND TM 53 Nonvolatile 53 gate dielectric 53 RF Module 53 epitaxial layers 53 Linear Actuator 53 GaAs HBT 53 Fiber Lasers 53 FPGA synthesis tools 53 Analog FastSPICE Platform 53 MetaSDRAM 53 picosecond laser 53 International Rectifier Introduces 53 SoC verification 53 microstructured 53 density interconnect HDI 53 Silicon Compiler 53 ST Nomadik 53 Fujitsu Mag EraSURE 53 SOFC stacks 53 hermetic packaging 53 sputter deposition 53 Through Silicon Vias 53 QT# [002] 53 Opteron Processors 53 austriamicrosystems Introduces 53 nano electromechanical systems 53 LX#T device 53 photonic bandgap 53 metalorganic chemical vapor deposition 53 Virtex 5 53 ColdFire + 53 breakdown voltages 53 radial leaded 53 SpyGlass ® 53 fully synthesizable 53 Marvell #W# 53 silicon photonic 53 Rugged COTS 53 SystemC models 53 Link OAM 53 Blackfin Processor 53 laser diode modules 53 Nanometer 53 Multiprocessor 53 ARM#T 53 fused quartz 53 X ray microscopy 53 antifuse 52 XFP modules 52 Stratix II FPGA 52 logic CMOS 52 Freescale i.MX 52 wirewound 52 RET OPC 52 Precision Synthesis 52 Engenio logo 52 Calibre nmDRC 52 Application Specific Integrated 52 TCI# 52 Virtutech Simics 52 Error Correction 52 die bonder 52 Processor Cores 52 ARM Cortex M0 52 Power Amplifiers 52 TRF# [002] 52 HLNAND 52 Attenuators 52 BERTScope S 52 Freescale MPC# 52 Finite Element 52 structured ASICs 52 eRM 52 thermal conduction 52 OmniPixel3 HS 52 AlGaN GaN 52 Synplify DSP 52 prepreg materials 52 Copolymers 52 Power Amplifier 52 amine scrubbing 52 catalytic oxidation 52 ARM#T processor 52 nanoimprinting 52 Cadmium Telluride 52 reticle inspection 52 HV HBT 52 micro machining 52 NanoScope 52 Atomic Scale 52 Positive Temperature Coefficient 52 SFP + transceivers 52 Hydrodynamic 52 Acoustic Echo Cancellation 52 Fastening System 52 nm geometries 52 Si substrate 52 Sigma fxP 52 submicron CMOS 52 lithography simulation 52 Supermicro Launches 52 RRAM 52 subracks 52 #nm #nm [002] 52 #Gbps transceivers 52 Chip Scale 52 Stratix II GX 52 silicon substrates 52 datapath synthesis 52 CRIUS II 52 Extended Temperature 52 Xtensa processors 52 Tamper Resistant 52 #G CFP 52 5mm x 5mm 52 Quartus II 52 Ductile Iron 52 VIISta 52 Cortex processor 52 nanometer nm CMOS 52 simultaneous multithreading 52 polariton 52 TMS#DM# [001] 52 MPC#E processor 52 Electron Beam Lithography 52 JESD#A 52 Non Volatile Memory NVM 52 BCM# SoC 52 rectifier diode 52 DDR3L 52 secureAVR 52 Cortex M4 processor 52 iSCSI RAID 52 Optical Profiler 52 Camera Module 52 Nexsys 52 MIPS# #K 52 #nm lithography [002] 52 ATS# 52 Freescale QorIQ P# 52 #K CPS 52 CMOS wafer 52 Spirent Avalanche 52 DDR2 Memory 52 Mentor Questa 52 AlGaN 52 nvSRAM 52 ™ Solar Shingle 52 transistor arrays 52 MB#K# 52 subwavelength 52 Design Verification 52 Adaptec Unified 52 spatial multiplexing 52 amorphous silicon TFT 52 Fused Deposition Modeling FDM 52 Language UML 52 Conformal Coating 52 wafer prober

Back to home page