FinFET

Related by string. finFETs . FinFETs * * *

Related by context. All words. (Click for frequent words.) 73 FinFETs 71 pMOS 71 MOS transistors 71 k dielectric 71 hafnium oxide 70 Si substrate 70 CMOS transistors 70 SiON 69 k dielectrics 69 Field Effect Transistor 68 gate dielectrics 68 FDSOI 68 k gate dielectrics 68 nm CMOS 68 #.#μ 67 #.#μm CMOS process 67 SOI CMOS 67 gate electrode 67 5V CMOS 67 Strained Silicon 67 k gate dielectric 67 oxide thickness 67 InAs 67 threshold voltages 67 RRAM 66 planar CMOS 66 MOS transistor 66 insulator wafers 66 HfSiON 66 dielectric materials 66 NiSi 66 manganite 66 JFET 66 silicon oxynitride 66 #nm lithography [002] 65 photolithographic 65 ion traps 65 nanophotonic 65 nitride semiconductor 65 silicon germanium SiGe 65 Epitaxial 65 lithographic processes 65 nickel silicide 65 #nm CMOS [001] 65 chalcogenide 65 pentacene 65 #nm immersion lithography 65 QMEMS 65 integrated passives 65 #.#um [001] 65 #nm CMOS [002] 65 #nm SOI 65 depleted SOI 65 III nitride 65 microcavity 65 nm CMOS process 65 nMOS 65 deep sub micron 65 CMOS circuits 65 dielectrics 65 indium arsenide 65 Mbit MRAM 65 HEMT 65 antimonide 64 deep submicron CMOS 64 Plasmonic 64 finFETs 64 low k dielectrics 64 #LP [002] 64 silicon CMOS 64 silicon 64 HEMTs 64 silicide 64 #nm DRAM 64 copper interconnects 64 nm SOI 64 ferro electric 64 InGaN 64 CMOS compatible 64 LDMOS RF power 64 semiconducting nanowires 64 #nm #nm #nm 64 #nm nodes 64 nanometer silicon 64 transistor HEMT 64 TSMC #nm process 64 dual damascene 64 planar 64 epitaxial layer 64 semiconductor nanowires 64 AlGaN GaN 64 monolithically integrated 64 nitride 64 oxide semiconductor 64 InGaAs 64 #.# micron CMOS 64 InSb 64 NMOS 64 .# micron 64 nanocrystalline 64 uniaxial strain 63 ZnSe 63 Nanometer 63 #nm silicon 63 heterojunction 63 silicon Si 63 #nm node [001] 63 Silicon Germanium 63 Field Effect Transistors 63 silicon nanowire 63 CMOS silicon 63 nanomechanical 63 indium phosphide InP 63 FeRAM 63 #.#μm CMOS 63 optical waveguides 63 nm nodes 63 nematic 63 Photolithography 63 subwavelength 63 SOI silicon 63 Complementary Metal Oxide Semiconductor 63 nanowire transistors 63 PHEMT 63 nanobelts 63 nanometric 63 nanometer lithography 63 indium gallium arsenide InGaAs 63 indium phosphide 63 nm SRAM 63 Schottky diode 63 through silicon vias 63 transistor 63 photodetector 63 colloidal crystals 63 TSMC #.#um 63 HKMG 63 heterostructure 63 phototransistors 63 MTCMOS 63 Insulator SOI 63 diffractive optical elements 63 planar transistors 63 InN 63 #nm #nm [005] 63 monolithic microwave integrated 63 anisotropic 63 nanometer 62 micromachined 62 silicon germanium 62 #nm/#nm 62 package SiP 62 strontium titanate 62 gate dielectric 62 CMOS fabrication 62 PIN diode 62 planar waveguide 62 silicon photonic 62 SiO 2 62 CMOS 62 SiGe bipolar 62 dielectric layers 62 microfabricated 62 X ray absorption spectroscopy 62 SWNT 62 Schottky 62 Josephson junctions 62 SiC Schottky diodes 62 wirebond 62 Gallium Nitride 62 2μm 62 ferroelectrics 62 self assembled monolayer 62 nanometer CMOS 62 Powerful debug 62 metallic interconnects 62 AlN layer 62 capacitances 62 nonvolatile memories 62 carbon nanostructures 62 superlattice 62 nanopillar 62 K dielectrics 62 microcavities 62 wafer thickness 62 lattice mismatch 62 photonic bandgap 62 Through Silicon Vias 62 Si substrates 62 Ferroelectric 62 insulator SOI 61 photonic crystal 61 stripline 61 carbon nanotube transistors 61 resonant tunneling 61 1Gbit DDR2 61 nanometer node 61 bipolar transistors 61 dopant 61 Bragg grating 61 gallium indium phosphide 61 silicon substrates 61 microstructured 61 3Xnm 61 CMOS logic 61 optical waveguide 61 quantum capacitance 61 CMOS oscillators 61 InGaP 61 electron tunneling 61 sub #nm CMOS 61 varistor 61 bilayer graphene 61 bandgaps 61 high-k/metal-gate 61 nanowire arrays 61 nano imprint lithography 61 LiNbO3 61 Indium Phosphide InP 61 Mbit SRAMs 61 transistor circuits 61 epiwafer 61 cmos 61 SiC substrates 61 lithographic techniques 61 TSVs 61 #.# micron node 61 high-k/metal gate 61 reconfigurable logic 61 PCMOS 61 defect densities 61 donor acceptor 61 multilayers 61 programmable SoC 61 epitaxy 61 TFTs 61 SWCNT 61 graphene transistor 61 nanocluster 61 dielectric layer 61 nanotube transistor 61 nanochannels 61 gallium indium arsenide 61 ReRAM 61 measuring #.#mm x [001] 61 AlGaN 61 nanolayers 61 2Xnm 61 ZnO nanowires 61 graphene transistors 61 electron scattering 61 PIN photodiode 61 perovskite 61 #nm geometries 61 emission wavelength 61 Spintronic 61 Micromorph 61 silicon waveguides 61 nm immersion 61 Serdes 61 SOI substrate 61 nonlinear optical 61 nanorod 61 Strained silicon 61 Carbon nanotube 61 GaN HEMT 61 nanodots 61 DRAM SRAM 61 microstrip 61 microfluidic chip 61 nanocrystal 61 Josephson junction 61 insulator SOI technology 61 CMOS circuitry 61 cadmium selenide 61 Indium Phosphide 61 indium gallium arsenide 61 heterostructures 61 ceramic capacitor 60 lithium niobate 60 Hafnium 60 5μm 60 carbon nanotube CNT 60 e beam lithography 60 transistor SRAM 60 MB#R# 60 #.#μm [002] 60 transistor leakage 60 ferroelectric 60 QDs 60 insulator substrate 60 submicron 60 Elpida #nm 60 film transistors TFTs 60 aluminum nitride 60 graphene nanoribbons 60 nanofilm 60 Gallium arsenide 60 silica spheres 60 GaN transistors 60 nanotubes nanowires 60 #nm [001] 60 barium titanate 60 nvSRAM 60 TiN 60 G quadruplex 60 electrically insulating 60 magnetization reversal 60 indium gallium nitride 60 Gallium Arsenide 60 CMOS processes 60 dimensional nanostructures 60 GaP 60 8Gb NAND 60 electron mobility 60 nanochannel 60 aspheres 60 photonic crystal fibers 60 PMOS transistors 60 SOI Silicon 60 #Gbit [001] 60 low k dielectric 60 HRTEM 60 dielectrophoresis 60 epitaxial graphene 60 semiconducting material 60 insulating substrate 60 serdes 60 Structured eASIC 60 synchrotron X ray 60 SRAM DRAM 60 wafer dicing 60 ultraviolet lasers 60 datapath 60 MEMS resonators 60 CdSe 60 Photonic crystals 60 PIN diodes 60 FPC connectors 60 micromirror 60 ZnS 60 Schematic representation 60 transistor arrays 60 geometries shrink 60 organic TFTs 60 fpgas 60 quantum cascade 60 polyphase 60 gate transistors 60 Resistive Random Access 60 indium gallium nitride InGaN 60 nanoelectromechanical 60 MOSFETS 60 GaN HEMTs 60 toroids 60 vesicle fusion 60 Nanotube 60 clockless 60 multiprocessor architecture 60 ITRS roadmap 60 Vdd 60 mosfets 60 photonic circuits 60 Thin Film Transistors 60 optical lattices 60 oxide thickness EOT 60 nanometer transistors 60 #.#μm [001] 60 SOI substrates 60 Grätzel cells 60 #nm fabrication 60 semiconducting polymer 60 sapphire substrate 60 deep submicron 60 semiconducting 60 ZnO nanowire 60 MTP NVM 60 optofluidic 59 epi wafers 59 YBCO 59 uniaxial 59 crystalline Si 59 VCSELs 59 Fractional N 59 Si SiGe 59 bismuth telluride 59 nanometer scale 59 solder bump 59 quantum metrology 59 UMC #.#um 59 GLOBALFOUNDRIES #nm 59 Atomic Scale 59 spintronic 59 plasmonic devices 59 Cadmium Telluride CdTe 59 VLSI circuits 59 pHEMT 59 HBLEDs 59 microfabrication techniques 59 GaN layer 59 multichip module 59 Metamaterial 59 backside illumination 59 nanofabricated 59 structured ASICs 59 Gb NAND 59 nanomesh 59 InP 59 μm diameter 59 linearly polarized 59 photoelectrochemical 59 indium gallium phosphide InGaP 59 Vertical Cavity Surface Emitting 59 conjugated polymers 59 Microdisplay 59 fractal antenna 59 ARM# MPCore processor 59 #.#um CMOS 59 antifuse 59 hexamers 59 serial EEPROMs 59 #pin [001] 59 nanometers nm 59 silicon substrate 59 hydride vapor phase 59 epiwafers 59 nanocrystalline silicon 59 vertical cavity 59 exfoliated graphene 59 nanofluidic 59 voltage divider 59 Chip Scale 59 leakage currents 59 nanoporous 59 spiral inductors 59 nm DRAM 59 mosfet 59 birefringent 59 MQW 59 silicon nanocrystals 59 smaller geometries 59 selective emitter 59 X7R 59 nm wavelengths 59 polymer nanofibers 59 crystallinity 59 capacitively coupled 59 semiconductor nanocrystals 59 RTAX DSP 59 TSMC #nm [001] 59 oxynitride 59 UMC #nm 59 4H SiC 59 6T SRAM 59 #nm node [002] 59 Flip Chip 59 silicon interposer 59 optofluidic microscope 59 microelectronic circuits 59 bandgap 59 serializer deserializer 59 A9 processor 59 STT RAM 59 HPLC-Chip/MS 59 nanoelectronic devices 59 polariton 59 SST SuperFlash technology 59 microbolometer 59 multilayer ceramic capacitors MLCC 59 undoped 59 micrometer sized 59 Talus Vortex 59 antiparallel 59 nanoelectronic 59 electron doped 59 SiC MOSFET 59 nanodevice 59 Rapid prototyping 59 nano fluidic 59 antireflective coatings 59 geometries 59 metallisation 59 nano imprint 59 embedded passives 59 RV# GPU 59 metamaterial structures 59 NOR Flash Memory 59 embedded DRAM eDRAM 59 dispersive 59 poly Si 59 #nm #nm [002] 59 multichip 59 micrometer scale 59 silicon waveguide 59 photonic devices 59 MESFET 59 DDR PHY 59 voltage CMOS 59 GaAs substrates 59 aluminum nitride AlN 59 MWNT 59 GaN transistor 59 Zener diodes 59 MWCNT 59 NAND NOR 59 ArF immersion lithography 59 DS DBR 59 sSOI 59 RF LDMOS 59 #nm VCSEL [001] 59 DDR2 DRAM 59 Memristors 59 spectroscopic techniques 59 graphene layers 59 triplexer 59 GaN substrate 59 imprint lithography 59 DMOS 59 absorption spectroscopy 59 foil resistor 59 patterning technique 59 zinc selenide 59 OTFT 59 scanning electron microscopy SEM 59 microfluidic channels 59 epitaxy HVPE 59 nano patterning 59 DDR3 DRAM 59 GaAs InP 58 Scanning Electron Microscopy 58 transceiver IC 58 electron transistor 58 toroid 58 qubit quantum 58 Sanger sequencing 58 #.#V CMOS 58 parasitic capacitance 58 ZnO 58 graphene nanoribbon 58 3bpc 58 #MHz ARM# 58 intramolecular 58 gigabit Gb NAND flash 58 UV lasers 58 CMOS wafer 58 lanthanum aluminate 58 Tunable 58 iCoupler 58 bistability 58 gallium nitride 58 MLC NAND Flash 58 Westmere architecture 58 dimensional planar 58 FUSI 58 GaN substrates 58 AlN 58 Schottky barrier 58 photodiode 58 nm lithography 58 microlens 58 ferrite materials 58 copper metallization 58 reentrant 58 On Insulator SOI 58 AlGaAs 58 ultrasmall 58 concave convex 58 atomically smooth 58 MEMS resonator 58 collinear 58 polycrystalline 58 bistable 58 indium gallium 58 nanoribbons 58 electroluminescence EL 58 semiconductive 58 multiferroic 58 quantum dot lasers 58 silicon micromachining 58 BGA packaging 58 FPGA CPLD 58 Nanolithography 58 #nm NAND Flash 58 GNRs 58 #nm RF CMOS 58 1μm 58 conductive epoxy 58 nm 58 VCSEL 58 oxide layer 58 pulsed laser deposition 58 bioelectronic 58 high-k/metal gate HKMG 58 #.#x#.#mm 58 porphyrin 58 Stratix IV FPGA 58 silicon nitride 58 passivation layer 58 6nm 58 #nm transistors 58 Transistor 58 AccelArray 58 SiC MOSFETs 58 pyroelectric 58 #.#um CMOS process 58 colloidal particles 58 polyhedral 58 mesoscopic 58 conventional photolithography 58 microelectromechanical 58 silicon transistors 58 electron transistors 58 tunable filters 58 PROLITH 58 nanostructured surfaces 58 Ge substrates 58 Tolapai 58 supramolecular 58 outcoupling 58 #.#u 58 optical metamaterials 58 gallium indium 58 tunable optical 58 BCDMOS 58 BiCMOS 58 electromigration 58 optical interconnect 58 diffraction grating 58 #.#nm [002] 58 #/#nm 58 SoCs ASICs 58 quasicrystal 58 absorber layer 58 dielectric etch 58 high voltage BCDMOS 58 Stratix II FPGA 58 Frequency Synthesizer 58 conductive polymer 58 CoolMOS 58 Nanowires 58 nanoelectromechanical systems 58 yttrium barium copper 58 Mosfet 58 μm thick 58 ChIP chip 58 RFMD GaN 58 ferrites 58 TSMC Hsinchu Taiwan 58 magnesium fluoride 58 5nm 58 gallium arsenide gallium nitride 58 nanofluidics 58 hafnium 58 nanopillars 58 airgap 58 microchannel 58 nanotechnology microsystems 58 OP# [003] 58 1T FLASH 58 waveguides 58 HKMG technology 58 photodiode arrays 58 chalcogenides 58 Structured ASIC 58 piezo actuator 58 GaSb 58 #nm 1Gb 58 microelectronic devices 58 SAXS 58 SAW oscillators 58 manganese atoms 58 microdevices 58 DongbuAnam 58 Embedded Array 58 carbon nanotube transistor 58 #μm thick [002] 58 foundries IDMs 58 multibit 58 MAX# integrates 58 ZMD# 58 Buried Wordline technology 58 micro electromechanical 58 Si Ge 58 metal insulator 58 superlens 58 tetragonal 58 SEAforth 58 thermo mechanical 58 nanoimprint 58 capacitive coupling 58 nanoantenna 58 SQUIDs 58 nanomagnets 58 Force Microscopy 58 ARM#EJ S 58 Altera Stratix III 58 bipolar transistor 58 MRAM chips 58 SiC 58 IGBT Insulated Gate 58 heavy fermion 58 plasmonic 58 Socket AM3 58 SFP + transceivers 58 photoconductive 58 conductive coating 58 silicate glass 58 synthetic aperture 58 multijunction solar cells 58 spatial multiplexing 58 line BEOL 58 mammalian fatty acid 58 indium gallium phosphide 58 TOF TOF 58 pn junction 58 nanolithography 57 Inductors 57 dopants 57 Sequans SQN# 57 SMIC #.#um 57 8HP 57 CMOS transistor 57 #nm Process 57 chipscale 57 nonpolar GaN 57 NWs 57 multilayer ceramic 57 semiconductor nanostructures 57 silicon MEMS 57 titanium carbide 57 photomultipliers 57 analog circuitry 57 FPGA fabric 57 DEV DA TOMAR NEXT 57 WL CSP 57 mm ² 57 OneDRAM 57 NVIDIA Tesla GPUs 57 micrometer thick 57 HCS# 57 superlattices 57 GaN nanowires 57 MPCF 57 BiFET 57 EUV lithography 57 PowerPAK 57 nanopatterned 57 nanoarrays 57 Double Patterning 57 Bipolar Transistor 57 nanotube transistors 57 Agilent SurePrint 57 ARPES 57 wirebonding 57 Laser VCSEL 57 #Gbps transceivers 57 #:# LVDS 57 OFDM modulation 57 Lucid Hydra 57 surface functionalization 57 XT #i 57 #nm MLC NAND 57 InP HBT 57 asynchronous SRAM 57 hyperlens 57 atomically precise 57 nm NAND flash 57 #nm immersion 57 micrometre scale 57 bipolar CMOS DMOS 57 single photon detectors 57 Bragg reflector 57 quantum cascade lasers 57 eFlash 57 Gamma secretase 57 Epson Toyocom 57 ECPR 57 magnetoresistance 57 Mach Zehnder 57 metal gate HKMG 57 Fig. 1c 57 rotaxane 57 photocatalysts 57 JFETs 57 Vertex Shader 57 #V MOSFET [002] 57 exciton 57 walled carbon nanotube 57 micro machined 57 GaAs substrate 57 Cadence Encounter RTL Compiler 57 PbS 57 silicon nanophotonic 57 PCI Express Gen2 57 biocompatible polymers 57 GaAs MESFET 57 dipole moment 57 dilute nitride 57 doped silicon 57 ARM#EJ processor 57 Indium Tin Oxide 57 NRAM TM 57 amorphous silicon 57 spintronic device 57 MB#K# 57 nanoscopic 57 polydimethylsiloxane PDMS 57 millimeter silicon wafers 57 microelectromechanical systems MEMS 57 #nm lithography [001] 57 baseband MAC 57 optically transparent 57 edge roughness LER 57 excitonic 57 FPCs 57 vibrational spectroscopy 57 TILE# processor 57 ZIF 57 extreme ultra violet 57 Schematic diagram 57 superconducting qubit 57 piezoelectric actuator 57 silicon modulators 57 Structured ASICs 57 photolithography 57 crystal resonator 57 baseband LSI 57 embedded SRAM 57 cryo electron microscopy 57 protein filaments 57 3nm 57 Electron Mobility Transistor 57 birefringence 57 ferroelectric RAM 57 Flexfet 57 NMR spectra 57 monodisperse 57 ultracold molecules 57 nonmagnetic 57 piezoelectric crystals 57 ARM9 core 57 transparent electrode 57 Optical Modulator 57 cavity QED 57 tensile stress 57 cuprate 57 Agilent #A [001] 57 Silicon Nitride 57 LTR# 57 ring resonator 57 SiPs 57 ferrite core 57 8GHz 57 k dielectric materials 57 metal oxide nanoparticles 57 FETs 57 monocrystalline silicon 57 linewidths 57 computational lithography 57 nanoimprinting 57 photodetectors 57 Waveguides 57 mechanical polishing CMP 57 FPGA prototyping 57 pRNA 57 CMOS MEMS 57 GaAs wafers 57 fission yeast 57 millimeter wafer 57 CPUs GPUs 57 Immersion Lithography 57 coprocessing 57 transparent conductive coatings 57 wide bandgap semiconductor 57 vanadium dioxide 57 Altera Stratix 57 MWNTs 57 embedded DRAM 57 Serial EEPROM 57 RF amplifier 57 Cortex M4 57 solder bumps 57 electroactive 57 Silicon germanium 57 nm node 57 SO8 57 x ray optics 57 IEDM 57 silicon germanium SiGe BiCMOS 57 nanometer nm NAND flash 57 polymer substrate 57 substrate 57 polar modulation 57 nano electromechanical systems 57 palladium Pd 57 X ray microscopy 57 Optical Amplifier 57 MLCCs 57 nanomechanical devices 57 eWLB technology 57 epitaxial 57 dielectric constant 57 macroporous 57 nanomembranes 57 Agilent B#A 57 nanostructured silicon 57 Mobile ITX 57 mask ROM 57 midplane 57 6U cPCI 57 High Voltage CMOS 57 nanoindentation 57 thyristor 57 surface plasmon 57 liquid crystalline 57 crystal lattices 57 OneNAND flash 57 #nm MirrorBit 57 conformational changes 57 antiferromagnets 57 vibrational modes 57 Low Leakage 57 site directed mutagenesis 57 Z5xx 57 zinc oxide nanowires 57 1Mbyte 57 silicon etch 57 Flex OneNAND 57 ATtiny# 57 nanopatterning 57 Deep Reactive Ion Etching 57 CellMath IP 57 Rad Hard 57 Pseudo SRAM 57 Vishay Siliconix 57 microlens array 57 calcium fluoride 57 nanosecond pulse 57 Cell Processor 57 NAND Flash Memory 57 RFCMOS 57 CMOS RF CMOS 57 planar lightwave circuits 57 sigma delta modulator 57 optical interconnections 57 colloidal crystal 57 SpeedStep 57 antireflection 57 MEMS gyro 57 quantum dot 57 Polycrystalline 57 scanning tunneling microscopes 57 metal oxide 57 photocouplers 57 nm immersion lithography 57 AlGaInP 57 FD SOI 57 pseudo SRAM 57 QSFP 57 CMOS imager 57 surface plasmon resonance 57 endonuclease 57 ASMBL architecture 57 FineSim Pro 57 Mbit SRAM 57 epitaxially grown 57 HfO2 56 CMOS IC 56 #.#um [002] 56 rotaxanes 56 silicon photovoltaics 56 tunable RF 56 eutectic 56 Silicon Germanium SiGe 56 nanometers billionths 56 microelectromechanical systems 56 ring resonators 56 CCD detector 56 scanning electron microscope SEM 56 #nm wavelength [001] 56 QDRII 56 deep ultraviolet DUV 56 ellipsoidal 56 SiGe C 56 Fabry Perot 56 interposer 56 hollow cylinders 56 ferroelectric materials 56 capacitors inductors 56 Gb DDR3 56 LDO voltage regulator 56 ε 56 sq. mm 56 5mm x 5mm 56 auxetic 56 chromatin immunoprecipitation 56 BJTs 56 nanocomposite material 56 electrochemically

Back to home page