k1

Related by string. K1 * * K1 K2 . KRZR K1 . Motorola KRZR K1 . MOTOKRZR K1 . K1 Speed . K1 kayak . K1 slalom . K1 Invest . vitamin K1 . Vitamin K1 . K1 #m [002] . K1 #m [001] . CHO K1 *

Related by context. All words. (Click for frequent words.) 56 #.#μ 55 5μm 55 immersion litho 54 #.#nm [002] 53 SiON 53 λ 53 threshold voltages 53 linewidth 53 ZnSe 52 cm ² 51 Fig. 2B 51 SiGe bipolar 51 numerical aperture NA 51 #.#μm [002] 50 Fig. 1c 50 oxide thickness 50 UMC #.#um 50 #.#u 50 #μm [001] 50 5nm 50 pulsewidth 50 absorption coefficient 50 Cpk 50 5V CMOS 50 CMOS logic 50 σ 50 numerical aperture 49 hafnium oxide 49 #.#um [001] 49 nm lithography 49 epitaxy 49 #.#μm [001] 49 HEMT 49 CoO 49 Fig. 2C 49 #nm immersion 49 AlN 49 #nm lithography [001] 49 leakage currents 49 4H SiC 49 Fig. 4c 49 2μm 49 #ppm ° C 49 PHEMT 49 undoped 49 fluorescence intensity 49 τ 49 cm -2 49 crystallinity 49 str = 49 nanoimprint 49 ε 49 wafer diameters 49 moduli 48 micron pixels 48 θ 48 wavelength tunability 48 Fractional N 48 Fig. 4b 48 nm immersion 48 DMOS 48 nitride semiconductor 48 geometries shrink 48 FinFETs 48 Vsby 1 48 #nm/#nm 48 nematic 48 3nm 48 modulus 48 FinFET 48 TSMC #nm [001] 48 Yb 48 Fig. 3A 48 dual damascene 48 VCSELs 48 #mm ² [001] 48 InGaP HBT 48 tensile stress 48 #um [002] 48 nm 48 chromatogram 48 voltage CMOS 48 DDR2 DRAM 48 nanometer node 48 rigid substrate 48 parasitic capacitance 48 nm nodes 48 ρ 48 #nm #nm [005] 48 SiC substrates 48 Fig. 3B 47 MOS transistors 47 SiO 2 47 jitter measurement 47 k dielectric 47 η 47 ZrO 2 47 #mm# [003] 47 Micromorph 47 Si substrate 47 epiwafer 47 DFM DFY 47 QMEMS 47 μm diameter 47 6T SRAM 47 CAP7 47 nano imprint 47 z axis 47 linewidths 47 mm ² 47 kinematic viscosity 47 #nm #nm [002] 47 birefringence 47 Fig. 3b 47 2dB 47 Fig. 1B 47 ^ sup -1 47 e beam lithography 47 #nm immersion lithography 47 friction coefficients 47 lithography 47 HfO2 47 3Xnm 47 coercivity 47 Fig. 1A 47 nanometric 47 high voltage BCDMOS 47 #.#μm CMOS 47 μsec 47 thermal dissipation 47 #nm [001] 47 Fig. 1a 47 meV 47 #.#um CMOS 47 #MSPS 47 coplanar 47 High Voltage CMOS 46 inductances 46 CdSe 46 #.# micron node 46 LiNbO3 46 epiwafers 46 1μm 46 homopolymer 46 sub Angstrom 46 AlGaN GaN 46 qubit quantum 46 #.#Hz 46 mpm 46 #Gbit [001] 46 transistor leakage 46 reflow solder 46 #nm CMOS [001] 46 deep submicron CMOS 46 Gbps transceiver 46 CMOS RF CMOS 46 dopant 46 pMOS 46 STT RAM 46 InGaN 46 fig. S2 46 CMOS wafers 46 nsec 46 dpa cb 46 mosfet 46 k gate dielectric 46 dpa fp 46 SiGe C 46 photon detection 46 epi wafers 46 singulation 46 dI dV 46 dpa nr 46 #.#dB [003] 46 α 46 #nm nodes 46 electron mobility 46 FeRAM 46 2n 46 .# micron 46 κ 46 seq 46 tetragonal 46 MTCMOS 46 SABERTOOTH 46 EUV mask 46 CaO 46 epitaxial layer 46 μm thick 46 #x#mm [002] 46 3bpc 46 dielectric constant 46 #/#nm 46 CMOS fabrication 46 reticles 46 deoxy 46 EUV masks 46 HEMTs 46 dpa rt 46 SST SuperFlash technology 46 orbitals 46 S7 S# 46 oxyhemoglobin 46 #pin [001] 46 Fig. 4A 46 1Gb DRAM 46 1nm 46 Å -1 46 geometries 46 #nm [002] 45 PVD CVD 45 nm CMOS 45 ownership CoO 45 x 9mm 45 absorbance 45 multilayers 45 Fig. 4B 45 photolithographic 45 #K LEs 45 dielectrics 45 #mm ² [002] 45 qr 45 serdes 45 transistor pHEMT 45 outcoupling 45 GNRs 45 electron scattering 45 NiSi 45 φ 45 buck converters 45 detuning 45 elastic modulus 45 CMOS transistors 45 Mbit SRAMs 45 cm -1 45 BiCMOS 45 SWCNT 45 nanometer silicon 45 microchannel plate 45 ArF immersion lithography 45 Fig. 2b 45 gate dielectrics 45 LTPS TFT 45 #us [001] 45 VCSEL 45 microcrystalline 45 PIN photodiodes 45 nMOS 45 planarization 45 photon flux 45 Vertical Cavity Surface Emitting 45 defect densities 45 AlGaAs 45 Fig. 3c 45 LSA#A 45 intrinsic jitter 45 uniformities 45 phototransistors 45 1Mbit 45 ω 45 aud jpy 45 clock gating 45 ReRAM 45 #Gb MLC NAND 45 ohmic 45 ZXLD# 45 capacitances 45 phonon 45 GaAs substrates 45 gate electrode 45 QFN packages 45 InGaP 45 45 #.#nm [001] 45 = strlen 45 #μm [002] 45 waviness 45 deep sub micron 45 Electron Mobility Transistor 45 #.#um [002] 44 pentacene 44 extendibility 44 line BEOL 44 analyte 44 P3HT 44 AlGaN 44 patterning 44 TFTs 44 glutamyl 44 SOI CMOS 44 #μm thick [002] 44 #nm photomask 44 bandpass 44 wph 44 #.#mm# [001] 44 selective emitter 44 heterojunction 44 deterministic jitter 44 μm 44 wirebond 44 Bragg grating 44 Fig. 1D 44 #GHz [001] 44 pHEMT 44 #ns [001] 44 GaN layer 44 m z 44 superlattice 44 antifuse 44 chipscale 44 sq. mm 44 #Msps [002] 44 overmolding 44 LTPS 44 TSMC #nm process 44 pellicle 44 nm immersion lithography 44 FWHM 44 submicron 44 Oxide Silicon 44 #Msps [001] 44 BJTs 44 MESFET 44 tunability 44 sqrt 44 #nm SRAM 44 photoresists 44 thinner wafers 44 mask aligner 44 singlemode 44 magnetic permeability 44 dpa rl 44 #LP [002] 44 photon fluorescence 44 Eq. 44 hexamers 44 #bits 44 nitride 44 wafer bonder 44 submicrometer 44 InGaAs 44 TiN 44 mrad 44 Fig. 2A 44 psec 44 bipolar transistors 44 k gate dielectrics 44 micron pixel 44 GaN layers 44 MBd 44 rho 44 metal gate HKMG 44 imprint lithography 44 B1 B2 B3 44 Coulomb blockade 44 SiP 44 MirrorBit NOR 44 nanometer CMOS 44 microcavities 44 immersion lithography 44 antiferromagnetic 44 crystalline Si 44 moisture absorption 44 planar 44 MWNT 44 shear modulus 44 luma 44 anisotropy 44 z axes 44 #x# mm [004] 44 Gb NAND 44 CMOS 44 = null 44 flowability 44 Focused Ion Beam 44 electron tunneling 44 #nm RF CMOS 44 nm DRAM 44 flexures 44 toroids 44 μW 44 Figure 1C 44 plasmon 44 XT #i 44 WLCSP 44 resonance frequency 44 photolithography 44 isotropic 44 #ksps 44 PowerPAK 44 tetramer 44 SiC substrate 44 dimeric 44 Cu Cu 44 eutectic 44 nano imprint lithography 44 defectivity 44 mu m 43 QFN packaging 43 Schematic representation 43 mK 43 solder bumping 43 μs 43 K dielectrics 43 nitrided 43 Fig. 1C 43 monolithic microwave integrated 43 PIN diodes 43 steric 43 variable resistor 43 smaller geometries 43 HfSiON 43 COOH 43 oxynitride 43 sinusoid 43 tris 43 nanometers nm 43 photobleaching 43 hydride vapor phase 43 Laser VCSEL 43 calcium fluoride 43 Arria GX FPGAs 43 InN 43 electromigration 43 correction OPC 43 μV 43 #ns [002] 43 #nm wavelengths 43 sulfonated 43 moldability 43 #nm silicon 43 Nd YAG laser 43 Figure 2a 43 photocurrent 43 NOR Flash 43 mono crystalline 43 DFB lasers 43 gene amplification 43 computational lithography 43 picosecond 43 TetraMAX ATPG 43 oxide semiconductor 43 MLC NAND flash 43 kcal mol 43 nanopositioning 43 RLDRAM 43 CMOS imager 43 #cu 43 microbolometers 43 microbolometer 43 nanometer 43 Photolithography 43 monolithic CMOS 43 absorption spectroscopy 43 #nm CMOS [002] 43 SO8 43 GaN substrates 43 nm geometries 43 IGBT Insulated Gate 43 conductive epoxy 43 mask aligners 43 TSMC #nm LP 43 FCBGA 43 beta tubulin 43 8mm thick 43 bistable 43 EBDW 43 fpgas 43 InAs 43 logic CMOS 43 #nm node [002] 43 litho 43 oxide thickness EOT 43 ferroelectric random access 43 photodiode 43 polycrystalline 43 jumbo frets 43 Low Leakage 43 Gallium Nitride 43 W/cm2 43 Bipolar CMOS DMOS 43 wafer thickness 43 poly 43 DDR NAND 43 solder bump 43 monolithically integrated 43 #nm wavelength [002] 43 HKMG 43 twds 43 AlInGaP 43 Copolymer 43 spinous 43 dispersive 43 2nm 43 × #mm [002] 43 nm SRAM 43 AlN substrates 43 UMC #nm 43 Mbit SRAM 43 optical interconnections 43 PIN diode 43 microcavity 43 conductance 42 2Gbit 42 γ 42 SFP + transceivers 42 ArF 42 microwatt 42 reticle inspection 42 low k dielectrics 42 III nitride 42 indium gallium nitride InGaN 42 sapphire wafers 42 oligomer 42 paramagnetic 42 Fig. 4a 42 nanocrystal 42 darkfield 42 diffractive optical elements 42 #.#nm [003] 42 micrometer scale 42 lattice mismatch 42 swissie 42 desaturase 42 electrophoretic 42 nm VCSEL 42 HardCopy II 42 ligand receptor 42 DDR3 memory controller 42 ferritic 42 hi sean 42 UV NIL 42 Tachyon SMO 42 sub micron 42 ARM#EJ processor 42 lasing wavelength 42 nanoimprinting 42 HardCopy 42 gigabit Gb NAND flash 42 42 GHz RF transceiver 42 Silicon Nitride 42 PowerDI TM 42 scatterometry 42 Fig. 2a 42 CNT FED 42 WXY 42 femtosecond 42 occas 42 nonpolar GaN 42 #nm SOI 42 silicide 42 abv #.# 42 extrudate 42 kbit 42 #.# micron CMOS 42 transistor arrays 42 beta. 42 #nm SoC 42 ferroelectric 42 diffraction limit 42 digital multimeter DMM 42 copper metallization 42 ZnO nanowire 42 CMOS transistor 42 interconversion 42 biaxial 42 nanomesh 42 SAR ADC 42 nvSRAM 42 aspheres 42 #nm lithography [002] 42 uniaxial 42 enthalpy 42 memory compilers 42 collinear 42 sizeof 42 Kbits 42 #nm laser [001] 42 #nm wavelength [001] 42 asymptotic 42 EUV 42 epitaxy HVPE 42 shader processors 42 digital optocouplers 42 formes 42 polariton 42 vertical cavity 42 silicon substrates 42 linearization 42 InP 42 Fig. 3a 42 #nm laser [002] 42 accuracy repeatability 42 Gbps transceivers 42 Gbit NAND flash 42 photomasks 42 MirrorBit Quad 42 thermal EMF 42 JFET 42 colocalization 42 lithographic processes 42 monodisperse 42 8GHz 42 supercurrent 42 mmj 42 maskless lithography 42 #nm 8GB 42 optical waveguides 42 high-k/metal gate HKMG 42 #nm Virtex 42 SIMOX 42 kS s 42 passivation 42 linearly polarized 42 boron atoms 42 Silicon Oxide Nitride 42 embedded SRAM 42 MEK1 42 crystalline silicon c 42 oscillation frequency 42 NMOS 42 epitaxial 42 tunable filters 42 6mm x 6mm 42 femtogram 42 SWNT 42 embedded NVM 42 maskless 42 logic LSIs 42 8HP 42 perovskite 42 #Gbps transceivers 42 CMOS oscillators 42 polyacrylonitrile 42 bipolar transistor 42 martensitic 42 GaP 42 Fig. 1e 42 ν 42 Invarium 42 yr -1 42 Gigahertz GHz 42 printability 42 breakdown voltages 42 ps nm 42 ChIP chip 42 Diagonal Triangle 42 #,# bis 42 optically coupled 42 mOhm 42 gamma globin 42 gaussian 42 magnon 41 SO DIMM 41 6GHz 41 silica spheres 41 Fig. 2D 41 theta 41 sepal 41 bandgap 41 bit RISC CPU 41 magnetization 41 polynomial 41 copper oxide superconductors 41 Stratix III FPGAs 41 SiO2 41 epitaxial deposition 41 high-k/metal gate 41 #G DQPSK 41 CMOS silicon 41 aspheric 41 Interpolation 41 GFLOPs 41 heterodimer 41 Figs. 41 mm wafer 41 dephasing 41 nickel silicide 41 STN LCD 41 photosystem II 41 Field Effect Transistors 41 Hazard Ratio HR 41 Figure 4a 41 lamella 41 nm excitation 41 Silicon Via TSV 41 photodetector 41 #GB RDIMM 41 hysteresis loop 41 occludin 41 thermally stable 41 insulator SOI technology 41 argon fluoride 41 impedances 41 tetrahydrofuran THF 41 micron diameter 41 fig. S3 41 Synopsys DFM 41 dielectric etch 41 ATtiny# 41 fluid viscosity 41 Fig. 41 electron density 41 optical lithography 41 NAND Flash Memory 41 diffraction 41 transceiver IC 41 post perovskite 41 millimeter mm 41 pre preg 41 X ray microscopy 41 silicon CMOS 41 CdS 41 EUV resist 41 solution processible 41 8nm 41 singulated 41 IQ Aligner 41 bonder 41 Brillouin zone 41 transcriptional repressor 41 HVPE 41 GaN nanowires 41 g1 41 GFP fusion 41 procollagen 41 P cadherin 41 cellularity 41 SUSS 41 angstroms 41 manufacturability 41 planar waveguide 41 SOI substrate 41 absorption spectra 41 antiparallel 41 excitation wavelengths 41 1T SRAM memory 41 cmos 41 sputter deposition 41 doped silicon 41 nonlinear optical 41 MLC NAND Flash 41 nanotubes nanowires 41 fused quartz 41 intergranular 41 di selenide CIGS 41 meiotic recombination 41 #bit ADCs 41 #Mbit DDR2 41 SiS# chipset 41 #.#x#.#mm 41 silicon germanium SiGe BiCMOS 41 dimensional nanostructures 41 Elpida #nm 41 diffraction pattern 41 functionalization 41 substrates 41 electroless 41 nm wavelengths 41 #/#-nm 41 um CMOS 41 micron 41 #nm node [001] 41 friction coefficient 41 semiconductive 41 lactone 41 arket 41 MEMS resonators 41 x 5cm 41 MAPPER 41 nm node 41 LSISAS# 41 #mm# [001] 41 argc 41 adsorbate 41 4Gb DDR3 41 compressive stress 41 high-k/metal-gate 41 Sanger sequencing 41 4Gbit 41 cosine 41 nm MirrorBit 41 mE 41 Gallium arsenide 41 DDR3 DRAM 41 #m# [005] 41 micrometre scale 41 uniformity CDU 41 nanomagnets 41 nonlinearities 41 heterostructure 41 productization 41 Rapid prototyping 41 SiPs 41 TTQ 41 gigabit DDR3 SDRAM 41 nanotube arrays 41 NSR S#C 41 electron transistors 41 nanometer NAND 41 capacitive coupling 41 lowpass filter 41 copper electroplating 41 nanometer lithography 41 anneal 41 underfill 41 anisotropic 41 #nm #Gb 41 microamperes 41 DPSS lasers 41 viscoelastic properties 41 DRAM chipmakers 41 aberration correctors 41 GaAs gallium arsenide 41 planar CMOS 41 nonoverlapping 41 paracellular 41 surface plasmon resonance 41 catenin 41 GaAs InP 41 edge roughness LER 41 agl 41 lithographic 41 laser annealing 41 warpage 41 photoresist 41 dielectric thickness 41 ij 41 optocoupler 41 MEMS sensor 41 homopolymers 41 #bit MCUs 41 octyl 41 Stratix III 41 dipole moment 41 BGA packaging 40 flexographic plates 40 RV# GPU 40 k dielectrics 40 DFEB 40 micrometre 40 μl 40 CMOS processes 40 line FEOL 40 emission wavelength 40 dielectric 40 SiC Schottky diodes 40 magnetron sputtering 40 photopolymers 40 DongbuAnam 40 aluminum gallium nitride 40 eASIC 40 poly silicon 40 calorimetric 40 Strained silicon 40 bearish harmonic 40 4Gb NAND flash 40 reticle 40 damascene 40 silicon waveguides 40 MOS transistor 40 nanometer nm 40 optical excitation 40 sapphire substrate 40 Carbon nanotube 40 SDRAMs 40 subwavelength 40 divs 40 fluorescence excitation 40 isotherm 40 bistability 40 hydroxylation 40 photon microscopy 40 ARM#EJ S processor 40 amorphous silicon Si 40 TSMC UMC 40 circular dichroism 40 pulsed lasers 40 femtosecond laser pulses 40 MWNTs 40 BIST 40 areal densities 40 resistive loads 40 reentrant 40 GloFo 40 monotonically 40 #k ohms 40 millisecond anneal 40 #um [001] 40 VECTOR Express 40 eWLB 40 ha -1 40 silicon DRIE 40 dielectric layer 40 GaAs pHEMT 40 Aviza Technology 40 XA Spartan 3A 40 A9 processor 40 chalcogenide 40 crystallite 40 parametric yield 40 Gann angle 40 polybutadiene 40 ADAMTS# 40 AccelArray 40 polymerisation 40 Fig. 3C 40 nanopillar 40 #nm 2Gb 40 MgO 40 #MIPS [002] 40 ferrite 40 Structured ASICs 40 Inductance 40 nanoarrays 40 nm CMOS process 40 surface plasmon 40 RRAM 40 metaphase 40 VCOs 40 NuFlare 40 synthases 40 multijunction solar cells 40 ZnO 40 epitaxial wafers 40 vascularization 40 leadframes 40 excitonic 40 Rounded Reversal 40 UHMWPE 40 lumbar interbody fusion 40 epitaxial structures 40 #,#,# [013] 40 x ray optics 40 Patterning 40 antireflective coatings 40 Aera2 40 silicon oxynitride 40 thermal conduction 40 Gigabyte X#A 40 MXIC 40 Maskless 40 aluminum nitride 40 GFlops 40 H#K#me# 40 #mm wafer 40 arcsec 40 #nm DRAM 40 reconfigurable logic 40 nanolayers 40 & SoC debug 40 EUV resists 40 Gbit DDR3 40 magnetisation 40 cellulase 40 usd chf 40 deconvolution 40 secretase 40 diene 40 Josephson junctions 40 brightfield 40 hydroxyphenyl 40 1MHz 40 = #.#f 40 frequency harmonics 40 punctate 40 #mm x #mm [004] 40 reflow soldering 40 Hysteresis 40 nV 40 rheological 40 EurJpy 40 Josephson junction 40 UVTP 40 #nm wafers 40 alpha helical 40 dimensional tolerances 40 GaN HEMTs 40 RFCMOS 40 conventional photolithography 40 Cu interconnects 40 eFlash 40 fabbing 40 wafer 40 orientational 40 Durethan 40 inhomogeneities 40 rosewood fretboard 40 Virtex 5 40 Stratix II 40 Bipolar CMOS DMOS BCD 40 isomer 40 surface roughness 40 solder bumps 40 macrocycle 40 Excalibur Litho 40 PBGA package 40 Transistor

Back to home page