sSOI

Related by string. * * *

Related by context. All words. (Click for frequent words.) 64 SOI substrates 61 CMOS fabrication 61 monolithically integrated 61 silicon epitaxial 61 SOI CMOS 61 SiC substrates 60 silicon germanium SiGe 60 ArF immersion lithography 60 GaN wafer 60 epitaxial layer 60 #nm nodes 60 SiON 59 #nm RF CMOS 59 nonpolar GaN 59 eWLB 59 MOS transistors 59 #nm SOI 59 RFMD GaN 59 #nm DRAM 59 SOI substrate 59 nanometer node 59 selective emitter 59 MAX# integrates 59 FinFET 59 CdTe PV 58 indium gallium phosphide InGaP 58 DongbuAnam 58 nm lithography 58 pMOS 58 wafer thickness 58 FeRAM 58 MirrorBit Quad 58 #nm immersion lithography 58 CyberDisplay #K 58 OneNAND memory 58 Auria Solar 58 nMOS 58 SiC epitaxial wafers 58 TQP# 58 multijunction solar cells 58 Gallium Nitride 58 GaN transistor 57 extreme ultraviolet lithography 57 nm nodes 57 k gate dielectrics 57 submicron 57 EUV lithography 57 Si substrates 57 CRIUS 57 SOI wafer 57 millisecond annealing 57 tunable RF 57 #.#μm CMOS process 57 dielectric etch 57 deep sub micron 57 SiC wafers 57 UMC #nm 57 MOS transistor 57 RF transistors 57 Micromorph 57 high-k/metal gate 57 Elpida #nm 57 AlN 57 #.# micron node 57 CMOS transistors 57 #nm silicon 57 SiC MOSFET 57 NiSi 57 high voltage BCDMOS 57 FD SOI 56 wafer bonder 56 epiwafers 56 microbolometers 56 AlGaN GaN 56 antimonide 56 TSVs 56 BiFET 56 #nm MirrorBit 56 Micromorph ® 56 SOI wafers 56 #nm CMOS [001] 56 #μm thick [002] 56 multi crystalline wafers 56 AlGaN 56 epiwafer 56 nitride 56 GaN HEMT 56 nanometer silicon 56 low k dielectrics 56 micromorph 56 nm CMOS 56 transistor arrays 56 polymer electrolyte 56 FDSOI 56 copper metallization 56 TSMC #.#um 56 CIS CIGS 56 nm CMOS process 56 GAIN HBT 56 #nm #nm [005] 56 poly Si 56 silicon substrates 56 CRIUS II 56 2Xnm 56 epi wafers 55 carbon nanotube CNT 55 3D TSV 55 eWLB technology 55 nickel silicide 55 XLR #i 55 Silicon Germanium 55 CIGSe 55 AMOLEDs 55 photon detection 55 EO polymer 55 amorphous silicon Si 55 nanometer CMOS 55 copper interconnects 55 epitaxial 55 #mm MEMS 55 gate dielectrics 55 oxide semiconductor 55 HKMG technology 55 immersion lithography 55 nm SOI 55 Buried Wordline technology 55 conductive polymer 55 epitaxy 55 HEMTs 55 SiGe C 55 nitride semiconductor 55 silicon photonic 55 NMOS 55 silicon Si 55 #nm node [001] 55 #nm lithography [001] 55 CIGS Copper Indium 55 Fab #i 55 #nm wavelength [001] 55 design kits PDKs 55 deep submicron 55 nm SRAM 55 FinFETs 55 Silicon Germanium SiGe 55 threshold voltages 55 electro optic plastics 55 3Xnm 54 multicrystalline cells 54 multicrystalline wafer 54 AIX #G# 54 Mbit SRAMs 54 solar PV module 54 #nm MLC 54 outcoupling 54 lithographic processes 54 SOI silicon 54 GaN wafers 54 CMOS silicon 54 Gallium arsenide 54 geometries shrink 54 III nitride 54 silicide 54 nano imprint lithography 54 LiNbO3 54 AlGaInP 54 SIMOX 54 HBLED 54 #nm immersion 54 CMOS compatible 54 polymer modulator 54 Photolithography 54 InGaN 54 HEMT 54 nano imprint 54 BioBacksheet TM 54 #nm #nm #nm 54 Envelope Tracking 54 #.#um CMOS 54 CMOS scaling 54 #.#um [001] 54 Strained silicon 54 CIGS PV 54 planarization 54 CMOS logic 54 #.#μ 54 silicon germanium 54 XFP modules 54 heterostructure 54 Epitaxial 54 crystalline silicon c 54 #nm HKMG 54 defect densities 54 CMOS IC 54 hetero junction 54 hafnium oxide 54 silicon photovoltaics 54 transparent conductive oxide 54 #.#μm CMOS 54 InGaP 54 Low Leakage 54 monolithic microwave integrated 54 DDR3 DRAM 54 JFET 54 Carbon nanotube 54 INTRINSIC 54 LTE baseband 54 cm ² 54 Aixtron MOCVD 54 TSMC Fab 54 Micromorph R 54 NOx trap 54 PHEMT 54 GaN HEMTs 54 BGA packaging 54 GaAs FET 54 CMOS MEMS 54 Strained Silicon 54 GaN transistors 54 Crolles2 54 TOSAs 54 Insulator SOI 54 silicon etch 54 5V CMOS 54 TGA# SL 54 epitaxial wafers 54 CIGS copper indium 54 HEV EV 54 computational lithography 54 magnetron sputtering 53 mm wafers 53 Silicon Nitride 53 Si TFT LCD 53 DDR3 chips 53 leakage currents 53 MTP NVM 53 NiZn 53 BCDMOS 53 nanotube arrays 53 diameter wafers 53 SiC Schottky diodes 53 ACPL K# 53 k dielectric 53 deep submicron CMOS 53 CIGS cells 53 #mm silicon wafers 53 #nm CMOS [002] 53 solder bumping 53 silicon PV modules 53 GaAs HBT 53 MEMS oscillators 53 crystallinity 53 nanofabricated 53 SiC 53 millimeter wafer 53 GaAs substrates 53 Cadmium Telluride CdTe 53 DMOS 53 LPCVD 53 MaxEdge 53 voltage CMOS 53 #/#nm 53 junction amorphous silicon 53 QCLs 53 Gildas Sorin CEO 53 QFN packaging 53 AlGaAs 53 oxide thickness 53 mm wafer 53 #nm laser [002] 53 Micron Boise Idaho 53 ownership CoO 53 flexible monolithically integrated 53 nm wavelengths 53 PEALD 53 VCSELs 53 wafer ASPs 53 flexible CIGS 53 varistor 53 monocrystalline wafers 53 smaller geometries 53 planar waveguide 53 #nm photomask 53 insulator substrate 53 ultraviolet lasers 53 SWCNT 53 DrMOS 53 wafer dicing 53 photon flux 53 PBGA package 53 ultra capacitor 53 #LP [002] 53 ARM#T# S processor 53 DFM DFY 53 Hi Rel 53 wide bandgap semiconductor 53 transistor scaling 53 SOFC stacks 53 photoresist strip 53 nm VCSELs 53 microreactors 53 nanocomposite material 53 #.# micron CMOS 53 metallisation 53 mask aligner 53 MOCVD tools 53 MESFET 53 On Insulator SOI 53 BEOL 53 organic TFTs 53 deep silicon etch 53 Ge substrates 53 CMOS transistor 53 epitaxial deposition 53 TFPV 53 silicon waveguide 53 #nm/#nm 53 micro machining 53 PIN diode 53 nm immersion 53 nanoparticle inks 53 AlSiC 52 InP 52 high-k/metal gate HKMG 52 crystalline Si 52 #nm #nm [004] 52 Flexfet 52 Copper Indium Gallium Selenide 52 Schottky Diodes 52 High Voltage CMOS 52 STA# [001] 52 conductivities 52 epitaxial layers 52 millisecond anneal 52 optical waveguides 52 #.#μm [001] 52 etch selectivity 52 SmartPlant Enterprise solutions 52 gate electrode 52 CIGS solar 52 GaAs fab 52 Gallium nitride 52 Double Patterning 52 antireflective coatings 52 tunable optical 52 Bipolar CMOS DMOS 52 MB#K# 52 Planetary Reactor 52 epitaxial wafer 52 3D TSVs 52 CMOS ICs 52 millimeter silicon wafers 52 electrochemical capacitors 52 CNano 52 through silicon vias 52 Polycrystalline 52 Gallium nitride GaN 52 CMOS RF CMOS 52 BiCMOS 52 multicrystalline silicon 52 k gate dielectric 52 RO membrane 52 CBT resin 52 LDMOS 52 poly silicon 52 TSMC #nm process 52 parametric yield 52 nanometer nm NAND flash 52 magnetostrictive 52 Crolles2 Alliance 52 Gallium Arsenide 52 atomic spectroscopy 52 LSA#A 52 nanoimprint 52 zeolite membranes 52 5μm 52 TSMC #nm G 52 epi wafer 52 DPSK 52 Richard Brilla CNSE 52 Silicon CMOS Photonics 52 ion traps 52 #.#nm [002] 52 bipolar transistor 52 GaN RF 52 NAND fab 52 #G OTN [001] 52 Reference Methodology 52 GaAs substrate 52 E pHEMT 52 Dektak 52 InAs 52 #nm laser [001] 52 hermetic packaging 52 #.#u 52 ARM# MPCore processor 52 sapphire substrate 52 #nm 1Gb 52 VECTOR Express 52 SiGe 52 Si substrate 52 laterally diffused metal 52 Powerful debug 52 OneNAND flash 52 Optima HDx 52 nm DRAM 52 microwatt 52 LDMOS devices 52 MLCCs 52 foundries IDMs 52 nanosilicon 52 thinner wafers 52 SiGen 52 2G HTS wire 52 backside metallization 52 laser micromachining 52 monosilane 52 #Gb MLC NAND 52 #GB RDIMM 52 solder bump 52 nanofibre 52 EUV mask 52 Immersion Lithography 52 K dielectrics 52 LTPS TFT 52 reactive ion 52 DiCon 52 HKMG 52 iCoupler 52 dual damascene 52 .# micron 52 tuner ICs 52 Aizu Wakamatsu Japan 52 fiber optic transceivers 52 LTPS 52 dielectric breakdown 52 silicone elastomers 52 embedded SerDes 52 GaAs MMICs 52 Tachyon OPC + 52 ArF 52 transistor circuits 52 planar CMOS 52 Amorphous silicon 52 SFP + transceivers 52 sapphire wafers 52 cathode materials 52 SAE Magnetics 52 multicrystalline solar cells 52 InGaAs 52 tantalum capacitors 51 CIGS solar panels 51 CIGS panels 51 #.#um CMOS process 51 Laser Modules 51 PolyMax 51 ARM#EJ processor 51 uniaxial strain 51 PLED 51 EUV resists 51 #.#um [002] 51 oxide layer 51 #.#x#.#mm 51 nanochannel 51 STT RAM 51 QMEMS 51 silicon CMOS 51 x ray optics 51 thickness uniformity 51 BGA packages 51 PRC# 51 VCSEL 51 Mach Zehnder modulator 51 4mm x 4mm 51 crystalline PV modules 51 DDR3 DIMMs 51 silicon oxynitride 51 #nm 2Gb 51 #nm FPGAs 51 ArF immersion 51 Gigaphoton 51 1μm 51 glass substrate 51 #μm [002] 51 thermopower 51 C4NP 51 RF LDMOS 51 microcavity 51 gallium nitride GaN 51 Mask Aligner 51 InGaP HBT 51 Tunable XFP 51 IC Compiler #.# 51 HV HBT 51 copper electroplating 51 ZMDI 51 CIGS solar cells 51 micromirror 51 Mosfets 51 VIISta HC 51 substrate 51 epitaxy HVPE 51 RF MEMS switches 51 ITRS roadmap 51 e beam lithography 51 mask aligners 51 ion implanter 51 MRAM chips 51 RFCMOS 51 Gallium Nitride GaN 51 indium gallium nitride InGaN 51 nm geometries 51 MB#R# 51 OneChip 51 transceiver module 51 heterojunction 51 Dual Frequency 51 GaN 51 nanocrystalline 51 XT #i 51 nanostructured surfaces 51 silicon interposers 51 UMC #.#um 51 #nm #Gb 51 Si wafers 51 varactors 51 thermal conduction 51 #um [002] 51 8G Fibre Channel 51 oxynitride 51 Differential Quadrature Phase 51 silicon oscillators 51 extreme ultra violet 51 CIGS module 51 gate dielectric 51 indium gallium arsenide InGaAs 51 Complementary Metal Oxide Semiconductor 51 mm silicon wafers 51 Impinj AEON 51 Schottky diode 51 silicon etching 51 Silicon Carbide 51 SFP + modules 51 Nanorods 51 Sigma fxP 51 dielectric layers 51 PVB sheet 51 pHEMT 51 SiO 2 51 di selenide CIGS 51 aluminum nitride 51 low k dielectric 51 RRAM 51 manufacturable 51 nucleation layer 51 SFP transceivers 51 #nm node [002] 51 GaN substrates 51 silicon 51 SemiSouth SiC 51 Schottky 51 #nm lithography [002] 51 PMICs 51 linewidths 51 HV CMOS 51 Si TFT 51 emission wavelength 51 NanoBridge 51 nm node 51 Application Specific Integrated Circuits 51 c Si 51 Kilopass XPM 51 surface passivation 51 CdSe 51 QFN# package 51 dielectric layer 51 Configurable Logic 51 Bragg grating 51 EUVL 51 4Gbit 51 UniFire 51 thermo mechanical 51 multicrystalline silicon solar 51 gigabit Gb NAND flash 51 nanoimprinting 51 Copper Indium Gallium 51 Atmel ATmega#RFA# 51 thermal dissipation 51 microamperes 51 GaAs pHEMT 51 5mm x 5mm 51 ZigBee chipsets 51 XFP module 51 TWINSCAN 51 #mm ² [001] 51 silicon substrate 51 GaN layers 51 MT#F# 51 Pseudo SRAM 51 #V LDMOS 51 ferroelectric RAM 51 Thinlam 51 nm NAND 51 germanium substrates 51 line BEOL 51 #.#μm [002] 51 photolithographic 50 Bipolar Transistor 50 TSMC #nm [001] 50 kit PDK 50 ultrahigh purity 50 Gallium Arsenide GaAs 50 CEA Liten 50 HyperCloud 50 photonic crystal fibers 50 mm wafer fabs 50 Avancis 50 Silicon photonics 50 Mach Zehnder 50 functionalizing 50 6T SRAM 50 WinPath3 SuperLite 50 plasma etching 50 lithographic techniques 50 OneDRAM 50 electro deposition 50 PROLITH 50 indium phosphide InP 50 Energetiq 50 8bit MCU 50 microbolometer 50 crossflow 50 micron wafers 50 2μm 50 Dresden fab 50 backside illumination 50 EUV resist 50 substrates 50 chip optical interconnects 50 dielectric constant 50 Marvell #W# 50 #nm Buried Wordline 50 photonic circuits 50 Intel IBIST 50 #um [001] 50 #nm fab 50 phototransistors 50 MAPPER 50 Mbit densities 50 #nm [001] 50 transistor SRAM 50 heterostructures 50 photodetector 50 nano composites 50 FM#L# 50 CIGSolar 50 Encounter RTL Compiler 50 Nanocyl 50 metal insulator 50 silicon oxynitride SiON 50 Resistive Random Access 50 semiconductor metrology 50 accuracy repeatability 50 Gb NAND 50 Silicon nanowires 50 JFETs 50 Flex OneNAND 50 nanometric 50 DRX #D 50 Transparent Conductive Oxide TCO 50 ferrite core 50 microvia 50 patterning technique 50 semiconductor fabs 50 CIGS thin film 50 Intel #P chipset [001] 50 SSDs OCZ 50 cathode catalysts 50 #nm geometries 50 k dielectrics 50 generation sequencers 50 Symmetra PX 50 engineered substrates 50 nvSRAM 50 nanometrology 50 #.#mm# [001] 50 Particulate Reactor TM 50 Stratix IV FPGA 50 PowerTrim technology 50 imprint lithography 50 W/cm2 50 Chip Scale 50 #G PON 50 6nm 50 GLOBALFOUNDRIES #nm 50 triplexer 50 barium titanate 50 high-k/metal-gate 50 correction OPC 50 MirrorBit Eclipse 50 #Gb NAND flash 50 SiGe bipolar 50 CleanAmp TM 50 Inductors 50 DfM 50 InP substrates 50 ML#Q# 50 monocrystalline silicon 50 SMIC #.#um 50 multicrystalline module 50 Infinera PICs 50 FE DMFC 50 wafer bonding 50 finer geometries 50 mono crystalline solar 50 3mm x 50 ElectriPlast ¿ 50 photoresist stripping 50 ferrite 50 transparent conductive 50 Kinsus 50 immersion litho 50 photonic devices 50 insulator wafers 50 AlGaInP LED 50 purity silicon 50 TSMC #nm LP 50 FabEX 50 FEOL 50 #nm NAND flash 50 mono crystalline 50 amorphous alloy transformer 50 CVD diamond 50 embedded Wafer Level 50 logic NVM 50 nanometer 50 extendibility 50 inkjet printhead 50 ultrashort pulse laser 50 packaging WLP 50 VIISta 50 FlipChip International 50 #Gbps Ethernet switch 50 epitaxial substrates 50 ENN Solar 50 CMOS imagers 50 thermoplastic polymer 50 SiWare 50 DDR2 memory modules 50 Lasertec 50 microcavities 50 PIN photodiodes 50 embedded NVM 50 planar lightwave circuits 50 AlN layer 50 Solibro 50 GaN substrate 50 HVIC 50 1Gb DRAM 50 QDs 50 centrotherm 50 #nm #nm [002] 50 sub micron 50 optically transparent 50 optical lithography 50 HBLEDs 50 embedded EEPROM 50 gigabit DDR3 SDRAM 50 Quad NROM 50 x ray detectors 50 NeoCircuit 50 metallization 50 mechanical polishing CMP 50 PowerDI TM 50 baseband LSI 50 RF subsystems 50 x 9mm 50 #nm SRAM 50 Indium phosphide 50 Buried Wordline 50 ProFire Excel 50 DDR2 memory controller 50 Follow Vishay 50 HDI PCB 50 lattice mismatch 50 Electrografting 50 UV flatbed printer 50 hydride vapor phase 50 photonic integrated circuits PICs 50 TQFP packages 50 Molecular Imprints 50 Adaptive DPSK 50 plasma etch 50 UMG Si 50 MBPV 50 CAPEX OPEX 50 calcium fluoride 50 ARM#EJ S processor 50 wph 50 tunable filters 50 MaxBright 50 nanolayers 50 MALDI-TOF/TOF 50 electron optics 50 #nm Nextreme 50 polariton 50 #mm wafer 50 Chemical Vapor Deposition 49 VCOs 49 monocrystalline silicon wafers 49 TOF TOF 49 #mm wafers 49 Cree GaN 49 Synopsys DFM 49 GaAs gallium arsenide 49 #G DQPSK 49 Nanofiltration 49 micromorph ® 49 HPLC-Chip/MS 49 CoO 49 laser scribing 49 InP HBT 49 transistor leakage 49 MBBR 49 Indium Phosphide InP 49 Wafer Bonding 49 FineSim Pro 49 interposers 49 CIGS solar cell 49 leadless package 49 www.imec.be. 49 MEMS MOEMS 49 Soitec produces 49 FlexUPD 49 CMOS processes 49 asynchronous SRAM 49 DualBeam 49 Alchimer 49 Mbit SRAM 49 optocoupler 49 FusionQuad 49 millimeter mm 49 nano patterning 49 Stratix II GX 49 mono crystalline silicon 49 BitWave 49 FE SEM 49 DSSCs 49 High Brightness LED 49 ZnS 49 #mm silicon wafer 49 transistor HEMT 49 Stratix III FPGAs 49 CMOS photonics 49 Alien Crosstalk 49 line FEOL 49 AquiVia 49 EFEM 49 GaAs 49 2Gb DDR2 49 Lithium Niobate 49 parasitic inductance 49 laser diode modules 49 TetraMAX ATPG 49 graphene transistors 49 flexural modulus 49 dopant 49 Yokkaichi Operations 49 #nm [002] 49 Stratix GX devices 49 epitaxial structures 49 metalorganic chemical vapor deposition 49 etch deposition 49 DPSS lasers 49 GaN LEDs 49 MOCVD reactor 49 MEMS resonators 49 Insulated Gate Bipolar Transistor 49 Applied Endura 49 CIGS solar modules 49 QFNs 49 Solamet ® 49 MALDI 49 DS DBR 49 Maskless 49 JESD#A 49 Cadmium telluride 49 Qcept 49 Integrated Metrology 49 CdTe Si 49 CMP consumables 49 GaN LED 49 WLCSP 49 Efficeon TM# processor 49 Vdd 49 nanocrystal 49 bipolar transistors 49 terrestrial concentrator 49 ProNova 49 CdTe solar 49 TeraXion 49 #nm wafers 49 DDR PHY 49 MIPI interface 49 VUV 49 wafer thinning 49 AEC Q# qualified 49 GaAs IC 49 nanofilm 49 furnaceware 49 polysilicon ingot 49 copper damascene 49 #-Gbit/sec optical 49 optical modulator 49 Flexion batteries 49 NanoScope 49 #GBASE LR4 [002] 49 DUV 49 dielectrics 49 Eudyna 49 TFT LCD module 49 GaAs MESFET 49 Ti TiN 49 inherently flame retardant 49 PV module manufacturers 49 photodetectors 49 RF CMOS 49 DDR2 DRAM 49 MEMS oscillator 49 ADN# 49 Picogiga 49 ferrite materials 49 EUV 49 eyescreen 49 MicroLens 49 C#x DSP 49 Laser VCSEL 49 fpgas 49 Arria GX FPGAs 49 vertical cavity 49 PVD CVD 49 Aerosol Jet 49 pn junctions 49 Virage Logic SiWare 49 Epson Toyocom 49 Schottky diodes 49 YAG lasers 49 nanoscale patterning 49 capacitances 49 #x# WSS 49 Fractional N 49 SPINNERchip 49 #lm [002] 49 PV# [002] 49 PEEK OPTIMA 49 Vertical Cavity Surface Emitting 49 crystalline silicon modules 49 Zener diodes 49 voltage MOSFET 49 epitaxial silicon 49 Mixed Signal IC 49 Optima XE 49 ECPR 49 multicrystalline 49 chalcogenide 49 eDRAM 49 4G Fibre Channel 49 silicon germanium SiGe BiCMOS 49 #G DPSK 49 polymer membrane 49 Laurent Malier 49 Altera Stratix IV 49 CMOS SOI 49 uPD# [001] 49 SiT# 49 undoped 49 monodisperse 49 NSR S#C 49 UMG silicon 49 photopolymers 49 SnO2 49 DDR3 RDIMM 49 layer deposition ALD 49 ASIC SoC 49 gallium phosphide 49 Optical Modulator 49 organic photovoltaics 49 CSM# 49 TCZ 49 #/#-nanometer 49 AlN substrates 49 gallium selenide 49 optical waveguide 49 #x#mm package 49 athermal 49 iDP 49 AR#AP G 49 UVision 49 PCI Express PHY 49 DDR NAND

Back to home page