planar CMOS

Related by string. * Planar : applying Planar Lightwave . Planar Lightwave Circuit . planar lightwave circuit . proprietary Planar Lightwave . Gerry Perkel Planar . planar waveguide . Planar Systems NASDAQ PLNR / CMOs . cmos . cmo . Cmos . CMOD : Chi Mei Optoelectronics CMO . illuminated CMOS sensor . Hadley CMO Novatel . CMOS scaling . backside illuminated CMOS . Hadley CMO * *

Related by context. All words. (Click for frequent words.) 69 MOS transistors 68 k dielectrics 67 smaller geometries 67 CMOS scaling 66 FinFET 66 serdes 66 CMOS compatible 65 ITRS roadmap 65 PMICs 65 silicon germanium SiGe 65 nm nodes 64 low k dielectrics 64 FinFETs 64 Strained silicon 64 deep sub micron 64 SOI CMOS 64 #nm/#nm 63 FD SOI 63 nm CMOS process 63 CMOS fabrication 63 3Xnm 63 pMOS 63 Powerful debug 63 RRAM 63 insulator SOI technology 63 nickel silicide 63 k gate dielectrics 63 Rambus XDR memory 63 RFMD GaN 63 deep submicron 63 LDMOS RF power 63 threshold voltages 63 deep submicron CMOS 63 2Xnm 63 nanometer lithography 63 SiPs 63 high-k/metal-gate 63 CMOS logic 63 silicon germanium 62 JFET 62 high-k/metal gate 62 heterogeneous multicore 62 MirrorBit ORNAND 62 k dielectric 62 transistor leakage 62 maskless lithography 62 #nm node [001] 62 #nm lithography [002] 62 Silicon Germanium 62 FPGA architectures 62 oxide semiconductor 62 .# micron 62 Complementary Metal Oxide Semiconductor 62 k gate dielectric 62 HKMG 62 gate dielectrics 62 MAX# integrates 62 MB#C# [001] 62 organic TFTs 62 baseband LSI 62 GaN transistors 62 nanometer CMOS 61 micro electromechanical 61 OneNAND flash 61 iCoupler 61 MOS transistor 61 SRAM DRAM 61 #nm NAND flash 61 5V CMOS 61 FeRAM 61 chip optical interconnects 61 nitride semiconductor 61 #bit MCU 61 #nm MirrorBit 61 Atmel ATmega#RFA# 61 Scalado CAPS 61 nm DRAM 61 CMOS transistors 61 nanometer NAND 61 uniaxial strain 61 ReRAM 61 serializer deserializer 61 multicore architectures 61 SoC architectures 61 nMOS 61 Elpida #nm 61 nanometer node 61 wafer thickness 61 parasitic capacitance 61 finer geometries 61 dc dc controller 61 #nm DRAM 61 geometries shrink 61 Serdes 61 PHEMT 60 coprocessing 60 mmWave 60 nm SRAM 60 microelectronic devices 60 C#x + DSP 60 RFCMOS 60 CMOS processes 60 logic NVM 60 VortiQa 60 #/#-nanometer 60 BiFET 60 HardCopy II 60 spintronic 60 fpgas 60 multiprocessor architecture 60 RF LDMOS 60 #nm lithography [001] 60 ARM# MPCore processor 60 SIMOX 60 #nm CMOS [002] 60 HEMTs 60 ArF immersion lithography 60 Silicon Germanium SiGe 60 package SiP 60 #nm SoC 60 planar waveguide 60 high voltage BCDMOS 60 PCI Express PHY 60 nano imprint 60 high-k/metal gate HKMG 60 III nitride 60 SiGe bipolar 60 nanoelectronic 60 dc dc conversion 60 SoCs ASICs 60 CPUs GPUs 60 MTP NVM 60 #.#μm CMOS 60 DDR DRAM memory 60 DDR PHY 60 Carbon nanotube 60 embedded DRAM eDRAM 60 chipscale 60 OptoCooler 60 K dielectrics 60 IGBT Insulated Gate 60 1T FLASH 59 planar transistors 59 Cortex processor 59 capacitive touch sensor 59 TrueFocus 59 tuner ICs 59 monolithically integrated 59 LatticeEC 59 GaN HEMTs 59 #nm nodes 59 GaAs pHEMT 59 optical interconnects 59 GaN HEMT 59 TetraMAX ATPG 59 wirebond 59 photonic circuits 59 FlexRay controller 59 nvSRAM 59 millisecond annealing 59 atomic spectroscopy 59 #nm CMOS [001] 59 dual damascene 59 copper interconnects 59 #.#μ 59 multicore architecture 59 tunable optical 59 XFP module 59 nonvolatile memories 59 tunable RF 59 optical waveguides 59 structured ASICs 59 ARM9 core 59 OFDM orthogonal frequency 59 integrating NVM 59 nm CMOS 59 ARM7TDMI processor 59 8bit MCU 59 Structured eASIC 59 LongRun2 technologies 59 nm SOI 59 #nm nanometer 59 nanometer nm NAND flash 59 Buried Wordline technology 59 Cortex M0 processor 59 ferroelectrics 59 FDSOI 59 Follow Vishay 59 MEMS oscillators 59 toggle DDR 59 epi wafers 59 AccelArray 59 synchronous buck converter 59 nanoimprint 59 Resistive Random Access 59 microelectromechanical 59 USB PHY 59 nonlinear optical 59 #nm immersion lithography 59 TSMC #nm process 59 #nm silicon 59 PCIe Gen2 59 wafer dicing 59 OptiML Focus 59 SiON 59 digital optocouplers 59 Agilent B#A 59 DSP architectures 59 6Gbit/sec 59 QT# [002] 59 epitaxial layer 59 MPSoC 59 neural prosthetic 59 #nm #nm #nm 59 quantum metrology 59 MirrorBit technology 59 QorIQ processors 58 monolithic microwave integrated 58 #Gbps serial 58 #nm RF CMOS 58 RF transistors 58 #.# micron CMOS 58 nano patterning 58 SIMD instruction 58 #nm SOI 58 dataplane 58 transistor scaling 58 CSR BlueCore5 Multimedia 58 impedance matching 58 #nm #nm [005] 58 NVIDIA MCP# 58 external inductor 58 integrated passives 58 PCIe GbE 58 MirrorBit Quad 58 e# cores 58 #.#μm CMOS process 58 silicon MEMS 58 finFETs 58 optical interconnections 58 SMIC #.#um 58 moviNAND memory 58 HardCopy ASIC 58 #nm immersion 58 #nm VCSEL [001] 58 embedded SerDes 58 FPGA CPLD 58 quantum dot lasers 58 Stratix II FPGA 58 bistability 58 Gallium arsenide 58 CriticalBlue Prism 58 PCI Express specification 58 CMOS silicon 58 silicon photonic 58 Mbit MRAM 58 Encounter Timing System 58 Magma Quartz DRC 58 nanophotonic 58 HKMG technology 58 R8C/Tiny 58 VLSI circuits 58 Eudyna 58 optical interconnect 58 #nm MLC 58 extreme ultraviolet lithography 58 semiconducting nanowires 58 local oscillator LO 58 digital isolators 58 CY#C#x# 58 #nm FPGAs 58 Imec performs world 58 MESFET 58 carbon nanotube transistors 58 Cortex M3 core 58 leakage currents 58 CMOS oscillators 58 GbE controller 58 Texas Instruments OMAP# 58 GaAs PHEMT 58 SiC diodes 58 ZigBee IEEE #.#.# 58 SigmaQuad 58 Chandrakasan 58 ARM processor cores 58 PLDs 58 DDR2 memory controller 58 baseband chipset 58 Arteris NoC solution 58 shrinking geometries 58 High Voltage CMOS 58 CAN transceivers 58 nanometer silicon 58 Synopsys DFM 58 chip SoCs 58 MB#K# 58 TMS#DM# [002] 58 CellAirity platform 58 nanometer transistors 58 embedded EEPROM 58 computational lithography 58 #nm LPe process 58 asynchronous SRAM 58 CMOS ICs 58 #nm HKMG 58 On Insulator SOI 58 productization 58 Marvell #W# 58 Quad NROM 58 Freescale QorIQ P# 58 piezoelectric actuator 58 MirrorBit Eclipse 58 #Gbps transceivers 58 serializer deserializer SerDes 58 NexusWare Core 58 PXI instrumentation 58 Micron Boise Idaho 58 #.# micron node 57 thermal dissipation 57 Actel Fusion 57 analog baseband 57 Actel FPGA 57 8G Fibre Channel 57 Nand Flash 57 baseband modems 57 Photolithography 57 CyberDisplay #K 57 triplexer 57 OneDRAM 57 multibit 57 #G DQPSK 57 ARM#EJ processor 57 #nm geometries 57 GaAs HBT 57 serial backplane 57 nm lithography 57 Photonic crystals 57 Mixed Signal IC 57 epitaxial graphene 57 V# platform 57 nm NAND 57 ARC configurable 57 Cell MLC 57 through silicon vias 57 PSoC architecture 57 antimonide 57 Intel #P chipset [001] 57 #.#um CMOS 57 #LP [002] 57 ASICs FPGAs 57 SpeedStep 57 MPC#D processor 57 STT RAM 57 reconfigurable logic 57 transistor arrays 57 SiC Schottky diodes 57 #nm MLC NAND flash 57 Renesas Electronics RX 57 pseudo SRAM 57 #/#nm 57 nanometer nm CMOS 57 RF MEMS switches 57 nanofluidics 57 LDO voltage regulator 57 Stratix II FPGAs 57 SmartFusion devices 57 Crolles2 Alliance 57 Mosfet 57 LPDDR 57 6WINDGate software 57 LVDS output 57 Frequency Synthesizer 57 SemiSouth SiC 57 HEMT 57 PowerQUICC III 57 EO polymer 57 iMOTION 57 photonic devices 57 MEMS oscillator 57 RLDRAM II 57 optical transceiver modules 57 inertial MEMS 57 Cortex A9 processor 57 nm geometries 57 #nm node [002] 57 foundries IDMs 57 SiGe BiCMOS 57 StrataFlash 57 ZMDI 57 Stratix II GX 57 GPS LNA 57 Stratix III 57 WiMAX baseband 57 clockless 57 DDR2 memory interface 57 6T SRAM 57 multicore DSPs 57 #bit MCUs 57 silicon interposer 57 DongbuAnam 57 OneChip 57 fab lite strategy 57 #Gbit s Ethernet [002] 57 monolithic CMOS 57 magnetoresistive random access 57 analog circuitry 57 serial EEPROMs 57 photolithographic 57 Grätzel cells 57 SO8 57 ARM Cortex processor 57 NANDrive 57 nanoimprinting 57 SiliconDrive 57 HardCopy 57 FastSPICE 57 TrueStore 57 bipolar transistors 57 nanoelectronic devices 57 nm immersion 57 bioelectronic 57 datapaths 57 nanoscale patterning 57 computational complexity 57 graphene transistors 57 GLOBALFOUNDRIES #nm 57 RF amplifier 57 S#C# 57 CMOx TM 57 #.#.#/ZigBee 57 CMOS IC 57 Spartan 3AN 57 depleted SOI 57 picoArray 57 #GBASE T PHYs 57 PRC# 57 SFP + transceivers 57 RF CMOS 57 IGP chipsets 57 antifuse 57 tunable filters 57 Xtensa processors 57 FPGA fabric 57 mechanical polishing CMP 57 sequential clock gating 57 Through Silicon Vias 57 QMEMS 57 nm immersion lithography 57 QUICC Engine 57 Cadence Encounter Digital 57 Kilopass XPM 57 integrated circuits IC 57 Vdd 57 IC CAP WaferPro 57 dielectric etch 57 ion traps 57 WPANs 57 Insulator SOI 57 optocoupler 57 Debug Solution 57 chemical functionalization 57 Cadence QRC Extraction 57 CMOS photonics 57 synchronous MOSFET 57 Intel Q# chipset 57 C#x DSP 57 MSC#x 57 SiS# chipset 57 MEMS resonators 57 Calibre PERC 57 thinner wafers 57 silicon CMOS 57 IEEE#.#.# 57 directional couplers 57 #.#μm [002] 57 dimming ballast 57 XFP modules 57 SLC NAND flash 57 linewidths 57 mask ROM 57 multichip 56 NAND NOR 56 baseband modem 56 ASIC SoC 56 Intelli DDR3 56 UMC #nm 56 oxynitride 56 customizable dataplane processor 56 embedded microprocessors 56 gate dielectric 56 downconverter 56 CMOS 56 ESD diodes 56 microcontrollers microprocessors 56 CMOS circuits 56 JESD#A 56 silicon waveguides 56 TCI# 56 SC# processor 56 synchronous SRAM 56 phototransistors 56 nano fluidic 56 Infiniium oscilloscopes 56 HV CMOS 56 FB DIMM 56 liquid crystalline 56 #bit processors 56 Mach Zehnder modulator 56 Peregrine UltraCMOS 56 CMOS imagers 56 InGaN 56 CAPEX OPEX 56 BAW filters 56 JESD# [002] 56 Oxide Silicon 56 e beam lithography 56 silicon oxynitride 56 Arria GX FPGAs 56 Parallel ATA 56 resonant tunneling 56 MRAMs 56 microelectronic circuits 56 terahertz spectroscopy 56 QDRII 56 Vcore 56 PSRAM 56 manganite 56 OMAPV# 56 Tolapai 56 Schottky diode 56 datapath 56 moviNAND 56 provides rewriteable NVM 56 Electron Mobility Transistor 56 Ferroelectric Random Access 56 voltage CMOS 56 Tensilica customizable 56 ferro electric 56 immersion litho 56 CryptoCell 56 polar modulation 56 i.MX# processor [002] 56 OpenAccess database 56 Intel QuickPath 56 MirrorBit NOR 56 ARC configurable processor 56 HEV EV 56 MIPS processors 56 #nm wavelength [002] 56 PCI cPCI 56 electro optical polymer 56 ARM#E 56 interposers 56 rollable displays 56 external EEPROM 56 Altera Stratix III 56 MPC#E processor 56 EcoRAM 56 GaN RF 56 GPON EPON 56 DRX H 56 transceiver IC 56 ProASIC Plus 56 Softransceiver 56 MXC architecture 56 excitonic 56 TGA# SL 56 Flex OneNAND 56 EEPROM emulation 56 PXA3xx 56 micromechanical devices 56 #/#-nm 56 Miniaturization 56 gate electrode 56 insulator SOI 56 HPLC-Chip/MS 56 VideoCore 56 MOSFETS 56 SiliconDrive Secure 56 Schottky 56 optical lithography 56 ORNAND 56 neuromorphic 56 PowerQuicc 56 line BEOL 56 virtualizing desktops 56 #nm fab 56 TGA# SM 56 PowerSmart TM 56 Xtensa processor 56 A9 processor 56 parametric yield 56 iSSD 56 dielectric materials 56 silicon foundries 56 DDR NAND 56 wideband RF 56 E pHEMT 56 DSPs FPGAs 56 Serializer Deserializer SerDes 56 SiC MOSFET 56 indium gallium phosphide InGaP 56 OZMO# 56 multimode fibers 56 TI DRP 56 DMOS 56 extreme ultra violet 56 Altera FPGAs 56 ZenTime 56 design kits PDKs 56 MB OFDM 56 #.#ac 56 HomePlug powerline 56 Lucid Hydra 56 deep silicon etch 56 C#x DSPs [001] 56 mosfet 56 UCD# 56 LTR# 56 ADC DAC 56 8bit MCUs 56 QuickCap NX 56 CMOS transistor 56 silicide 56 #nm 2Gb 56 frequency transducers 56 Cadence Encounter RTL Compiler 56 CoWare ESL 56 RF circuitry 56 Teknovus EPON 56 microsystems MEMS 56 indium gallium arsenide InGaAs 56 PROLITH 56 ferroelectric random access 56 SATA Serial ATA 56 baseband MAC 56 Methodology Kit 56 GaAs MMIC 56 Gallium Nitride 56 Field Effect Transistor 56 Structured ASICs 56 PMOS transistors 56 BEOL 56 WiCkeD 56 spatial multiplexing 56 IEEE #.#aq 56 baseband chip 56 2Gb DDR3 56 FUSI 56 nonvolatile memory 56 proprietary MirrorBit 56 #nm Nehalem 56 ATmega#P [001] 56 #Gbit [001] 56 SAS expanders 56 reconfigurability 56 programmable SoC 56 computing architectures 56 embedded processor cores 56 FPGA prototyping 56 tuner IC 56 vertical cavity 56 Talus Vortex 56 2eSST 56 #G/#G Ethernet 56 embedded nonvolatile memory 56 multipath fading 56 nanoelectromechanical systems 56 iMAT 56 heterostructure 56 Mosfets 56 SiGe 56 PCIe interconnect 56 UWB chipsets 56 Cortex A9 processors 56 MegaCore 56 Ge substrates 56 MSC# DSP 56 ColdFire + 56 ANTARIS 4 56 Westmere architecture 56 MBd 56 CloudLinux OS 56 XDR memory 56 MSC# [001] 56 MB#Q# 56 eWLB technology 56 ClearNAND 56 ceramic capacitor 56 ADRES 56 TI TMS#DM#x 56 ioMemory 56 GaN transistor 56 Extensible Processing Platform 56 ownership CoO 55 pluggable module 55 #.#mm# [001] 55 ISP# 55 EVS #RT 55 SiP 55 SFP + module 55 NOR NAND 55 synthesizable IP 55 FPC connectors 55 SPICE simulators 55 vehicle infotainment IVI 55 uPD# [001] 55 registered DIMMs 55 Autosar 55 instrumentation amplifiers 55 nonvolatile flash 55 4KEc 55 Field Effect Transistors 55 dimensional planar 55 SanDisk iNAND EFDs 55 #G OTN [001] 55 PowerTrim 55 arbitrary waveform generators 55 nanowire transistors 55 pHEMT 55 SiGe C 55 Samsung OneNAND 55 SoC prototyping 55 baseband processing 55 Theseus Titanium 55 pulse width modulation 55 epitaxial structures 55 photocouplers 55 4Mbit 55 InfiniBand QDR 55 Efficeon TM# 55 capacitive coupling 55 CMOS RF transceiver 55 magnetic rotary encoder 55 #.#V CMOS 55 CMOS complementary 55 PCI Express Gen2 55 thermal conduction 55 Pseudo SRAM 55 #nm [001] 55 ARM Cortex R4 55 PHY layer 55 electro optic modulator 55 transceiver modules 55 ferrite materials 55 FPGA DSP 55 submicron 55 MCP#X 55 ZigBee/#.#.# 55 photonic components 55 fully buffered DIMMs 55 Cree GaN 55 Encounter RTL Compiler 55 FPGAs ASICs 55 Austriamicrosystems 55 extruded profiles 55 Freescale UWB 55 lithographic techniques 55 PATA interface 55 millisecond anneal 55 spintronic devices 55 multirate 55 dsPIC#F# 55 2Gb DDR2 55 quantum cascade 55 metal gate HKMG 55 Richard Brilla CNSE 55 Sequans SQN# 55 ATCA blade 55 microprocessors microcontrollers 55 BiCMOS 55 Freescale MSC# 55 ferroelectric RAM 55 ATmega#RFA# 55 TSMC #.#um 55 density interconnect HDI 55 AVR microcontroller 55 nanomechanical 55 BGA packaging 55 nextgen sequencing 55 Cortex M4 55 Indium Phosphide InP 55 AMS RF 55 density NOR flash 55 optical modulators 55 LDMOS 55 insulator wafers 55 GaAs MESFET 55 Multicore processors 55 sensor node 55 PCB layout 55 ZigBee chipsets 55 CSM# 55 VCOs 55 #/#G Ethernet 55 nanometer NAND flash 55 MetaSDRAM 55 emPROM 55 nanotubes nanowires 55 LDO controller 55 DFM DFY 55 Marvell PXA# 55 parasitic inductance 55 SONET SDH PDH 55 #K CPS 55 innovative Buried Wordline 55 LVDS outputs 55 sensor arrays 55 Semiconductors ITRS 55 MicroBlaze processor 55 ARM# ™ 55 GridIron XLR8 55 circuit MMIC 55 SmartMX chip 55 ULP Bluetooth 55 LSA#A 55 #GFC 55 nano electromechanical systems 55 density NAND flash 55 FPGA prototypes 55 nm NAND flash 55 CoolMOS 55 AlSiC 55 nanopatterning 55 iRCX format 55 transistor HEMT 55 serial EEPROM 55 #nm 8GB 55 fast Fourier transform 55 ARM Cortex M4 55 #nm SRAM 55 microprocessing 55 conductivities 55 epitaxy HVPE 55 nano imprint lithography 55 microfabrication techniques 55 SFP + modules 55 embedded NVM 55 codenamed Silverthorne 55 sensor actuator 55 metallic interconnects 55 analog circuits 55 WinPath3 SuperLite 55 ioSAN 55 SDIO SPI 55 STM#L 55 planarization 55 LPDDR2 55 SuperFlash 55 TI C#x 55 Calibre DFM 55 Silicon CMOS Photonics 55 correction OPC 55 AEL# 55 optical modulator 55 eGaN 55 DRX #D 55 LDO regulator 55 extendible cores assist 55 tunnel junction MTJ 55 #pin [001] 55 Intel XScale ® 55 IEEE #.#n Wi Fi 55 ColdFire processors 55 Tensilica processors 55 stripline 55 silicon 55 QSC# 55 Magma Talus 55 SDRAM memory 55 DisplayLink USB graphics 55 Virtex 5 55 C#F# [001] 55 RISC DSP 55 MathStar FPOA 55 GAIN HBT 55 Cadence DFM 55 LiNbO3 55 ZigBee protocol 55 Zenasis 55 die bonder 55 XRT#L# 55 silicon Si 55 #/#-bit [002] 55 nm SoC 55 BCSM# 55 InGaAs 55 SyncE 55 Blackfin processors 55 using CMOS BiCMOS 55 Lauterbach TRACE# 55 Solido Variation Designer 55 transceiver module 55 TestKompress 55 LightGAIN 55 HLNAND 55 WXC platforms 55 powerline modem 55 baseband processors 55 QuickPath interconnect 55 DirectFET 55 ST Nomadik 55 oxide thickness 55 silicon germanium SiGe BiCMOS 55 EUV lithography 55 singulation 55 chalcogenide 55 SAS expander 55 adaptive equalization 55 VR#.# 55 NMOS 55 ENA# 55 BCDMOS 55 J BERT 55 iv unanticipated 55 1Gbit DDR2 55 APS3 55 GaAs MMICs 55 Speedster#i 55 Agilent #A [001] 54 Vishay Siliconix 54 RF baseband 54 Stratix IV GX 54 microelectromechanical systems MEMS 54 GigE connectivity 54 X ray microscopy 54 PWM controller 54 photonic bandgap 54 Actel FPGAs 54 WiMAX GSM EDGE 54 TOF TOF 54 Controller Continuum 54 Nios processor 54 OTFTs 54 CMOS MEMS 54 Silicon photonics 54 ALLVIA 54 BCM# BCM# 54 Stratix II 54 microstrip 54 Sigma CoAir 54 AFEs 54 extendibility 54 directly modulated lasers 54 FPGAs CPLDs 54 moviMCP 54 RF microwave components 54 manufacturability DFM 54 micromachined 54 Intel HyperThreading 54 RedHawk SDL 54 #.#b WLAN 54 AM# Sitara ARM MPU 54 nm node 54 Intergraph Clipper 54 Fractional N 54 electroluminescence EL 54 piezoelectric transducer 54 Application Specific Integrated Circuits 54 GaAs GaN 54 nanofilm 54 Cadence Virtuoso custom 54 DRAM SRAM 54 #V MOSFETs [002] 54 thermally induced 54 hydride vapor phase 54 DDR2 SDRAMs 54 reticle enhancement 54 RFIC simulation 54 Synopsys DesignWare IP 54 Sonet SDH 54 dielectrics 54 SOI wafers 54 4Gb NAND flash 54 micromirror 54 bit RISC processor 54 XScale processors 54 Indium Phosphide 54 Cortex M4 processor 54 exome capture 54 Actel ProASIC3 54 audio CODEC 54 glueless interface 54 ColdFire V1 54 SAW oscillators 54 Gbit DDR3 54 StarCore DSP 54 PIN diode 54 Virtual HBA 54 DDR3 RDIMM 54 Intel Xscale 54 DDR3 DRAM 54 embedded FRAM 54 Chip Scale 54 memory BIST 54 film transistors TFTs 54 technologies piezo resistive 54 PolarPro 54 Ultra wideband

Back to home page