KrF

Related by string. KRF . KRFS * * *

Related by context. All words. (Click for frequent words.) 68 ArF 66 ArF immersion 59 ArF immersion lithography 57 ArF dry 56 SOI CMOS 55 DUV 55 deep ultraviolet DUV 54 Agrarians Sp 54 XLR #i 54 #.#um [001] 53 argon fluoride 52 .# micron 52 #nm wavelength [001] 52 XT #i 51 #nm #nm [002] 51 crystalline Si 51 #.#μm CMOS process 51 immersion lithography 51 pHEMT 51 pMOS 51 nm immersion 50 NSR S#C 50 phototransistors 50 #nm RF CMOS 50 Photolithography 50 #.#um [002] 50 AlN 50 TWINSCAN XT #i 49 nm CMOS process 49 SiC Schottky diodes 49 #nm CMOS [001] 49 Gigaphoton 49 #nm CMOS [002] 49 #nm ArF 49 MOCVD 49 PHEMT 49 #nm immersion 49 amorphous silicon Si 49 hydride vapor phase 49 epitaxy 49 InGaAs 48 nm lithography 48 SOI wafers 48 epitaxy HVPE 48 VCSELs 48 Input Voltage 48 #nm lithography [001] 48 numerical aperture 48 Aera2 48 Insulator SOI 48 #.# micron node 48 dielectric etch 48 Camera Module 47 5μm 47 Immersion Lithography 47 5V CMOS 47 CMOS ICs 47 #.#μm [002] 47 SiON 47 EUV 47 computational lithography 47 numerical aperture NA 47 pellicle 47 Gigabit PHY 47 hetero junction 47 nm CMOS 47 deep submicron CMOS 47 InfiniBand QDR 47 DFB lasers 47 discretes 47 epi wafers 47 wafer thickness 47 UMC #nm 47 SiGe C 47 #.# micron CMOS 47 LiNbO3 47 VUV 47 lithography 47 linewidths 47 PIN diodes 47 #/#nm 46 VIISta 46 High Voltage CMOS 46 HEMTs 46 UV LED 46 Aerosol Jet 46 pulsed laser deposition 46 high voltage BCDMOS 46 DongbuAnam 46 crystalline silicon c 46 silicon germanium SiGe 46 silicon CMOS 46 extreme ultraviolet lithography 46 HKMG technology 46 EUV lithography 46 bipolar transistors 46 CMOS processes 46 NANOIDENT 46 Novellus SABRE 46 X FAB 46 microbolometers 46 nm nodes 46 plasma etch 46 FEOL 46 nm 46 TFTs 46 GaN 46 HEMT 46 copper interconnects 46 #nm DRAM 46 reticle inspection 46 Gallium Arsenide GaAs 46 CMOS silicon 46 Silicon Germanium 46 wirebond 46 ownership CoO 45 edge roughness LER 45 ASML TWINSCAN 45 photolithographic 45 oxide semiconductor 45 SOI wafer 45 VECTOR Express 45 #nm [001] 45 #nm [002] 45 EUVL 45 conservative VVD 45 Gallium Nitride 45 ion implanters 45 CMOS fabrication 45 insulator wafers 45 immersion litho 45 #.#μm CMOS 45 nanometer CMOS 45 nanometer silicon 45 voltage CMOS 45 InGaN 45 BiCMOS 45 #nm immersion lithography 45 LPCVD 45 TSMC #nm process 45 SiC substrates 45 ion implant 45 nitride semiconductor 45 Democracy VVD 45 Powerful debug 45 HBLED 45 Extreme Ultraviolet EUV 45 SUSS MicroTec 45 selective emitter 45 DQPSK 45 epitaxial 45 #nm node [001] 45 nonpolar GaN 45 GaN HEMT 45 photoresists 45 nanometer lithography 45 #nm nodes 45 low capacitance ESD 45 ATtiny# 45 embedded MPUs 45 CMOS logic 45 nanoimprint 45 Transceiver Module 44 aluminum nitride 44 PECVD 44 RFCMOS 44 CMOS oscillators 44 low k dielectrics 44 #nm HKMG 44 Gallium Arsenide 44 Silicon CMOS Photonics 44 projected capacitive touch 44 mosfets 44 Gbit DDR3 44 AEL# 44 HTPS panels 44 Industry Smallest 44 electron optics 44 #nm node [002] 44 speaker Amien Rais 44 nickel silicide 44 nm SRAM 44 silicon etch 44 microchannel plate 44 nanoimprinting 44 copper metallization 44 multichip 44 3Xnm 44 LCD steppers 44 #nm SOI 44 etching DRIE 44 indium phosphide InP 44 CoO 44 SOI substrate 44 BEOL 44 EUV masks 44 AlGaN 44 transistor arrays 44 SiO 2 44 Flip Chip 44 Indium phosphide 44 wafer bonder 44 AlGaAs 44 Liberals PNL 44 Industry Highest Density 44 scatterometry 44 PIN diode 44 1μm 44 #KB RAM 44 Mask Aligner 44 FinFET 44 nanometer node 43 GaAs substrate 43 #nm silicon 43 thermoelectric coolers 43 CMOS transistors 43 indium gallium arsenide InGaAs 43 backside metallization 43 GaAs HBT 43 fiber lasers 43 CMOS wafers 43 photodetector 43 Highly Integrated 43 Beamline #.#.# 43 measuring #.#mm x [001] 43 K dielectrics 43 Xenpak 43 nm wavelength 43 CMOS 43 extreme ultra violet 43 submicron 43 GbE controller 43 gate dielectrics 43 solder bump 43 optical waveguides 43 backside illumination 43 Schmergel 43 indium gallium nitride InGaN 43 silicon photonics 43 #G DQPSK 43 mm wafers 43 Cree GaN 43 photodetectors 43 Flex OneNAND 43 baseband IC 43 mm wafer 43 insulator substrate 43 dual damascene 43 SOI silicon 43 ZnSe 43 Democratic Movement KDH 43 mask aligner 43 epitaxial layer 43 PeakView 43 SiC 43 #nm #nm [005] 43 Silicon Via 43 #mm MEMS 43 millimeter wafer 43 SAW oscillators 43 Programmable Logic Device 43 CMOS wafer 43 HfSiON 43 silicon photovoltaics 43 Platform openSUSE 43 CIGS cells 43 BCDMOS 43 line BEOL 43 semiconductor lithography 42 oxide thickness 42 Bipolar CMOS DMOS BCD 42 violet laser 42 nano imprint 42 high-k/metal gate 42 AFMs 42 DPSS lasers 42 thermal dissipation 42 IGBT Insulated Gate 42 polycrystalline 42 nano imprint lithography 42 EVG# 42 Vertical Cavity Surface Emitting 42 smaller geometries 42 ProFire Excel 42 Altera FPGAs 42 Chipcon CC# 42 #nm Buried Wordline 42 AlN substrates 42 hafnium oxide 42 InP 42 DDR2 DRAM 42 C4NP 42 BOPP film 42 millisecond anneal 42 RFX# 42 design kits PDKs 42 optical lithography 42 DSL CPE 42 epiwafers 42 embedded NVM 42 VLD 42 HB LED 42 TOSA ROSA 42 solder paste inspection 42 planarization 42 mask optimization SMO 42 layer deposition ALD 42 antireflection 42 Photoresist 42 eutectic 42 TiN 42 #mm silicon wafers 42 low k dielectric 42 UV NIL 42 DesignWare PHY IP 42 flexographic plates 42 ASML 42 Pim Fortuyn List 42 bipolar transistor 42 Low Leakage 42 dielectrics 42 3D TSV 42 MOS transistors 42 k dielectric 42 coater developer 42 wafer dicing 42 PowerPAK SO 8 42 ellipsometry 42 density interconnect HDI 42 SiGe bipolar 42 Esatto Technology 42 LPKF 42 subwavelength 42 indium phosphide 42 AMLCD 42 Schottky 42 SOI substrates 42 mask aligners 42 Opti Probe 42 threshold voltages 42 SOI Silicon 42 customizable dataplane processor 42 wafer probing 42 EUV resists 42 linewidth 42 nanometer 42 silicon 42 amplifier module 42 microdisplay 42 micron 42 LTPS 42 HKMG 41 wafer 41 Carbon nanotube 41 sSOI 41 Stanyl 41 silicon germanium 41 defect densities 41 Liberals VVD 41 #nm/#nm 41 CDA Liberal VVD 41 outcoupling 41 monolithically integrated 41 brightness LED 41 deep silicon etch 41 laser scribing 41 wafer bonding 41 PEALD 41 FinFETs 41 CMOS circuits 41 Cortex R4 41 random copolymers 41 Cymer 41 nm immersion lithography 41 JENOPTIK GmbH 41 voltage #.#V 41 PAS# [002] 41 monolithic microwave integrated 41 ZZS 41 EUV resist 41 christian democrats 41 AlGaN GaN 41 plasma etching 41 photopolymer 41 SiGe 41 extreme ultraviolet EUV 41 nanometer nm 41 heterostructure 41 solder bumping 41 PROLITH 41 tuner IC 41 mechanical polishing CMP 41 Thyristor 41 TFPV 41 TM MSM# TM 41 UVTP 41 multilayers 41 Arnitel 41 #nm lithography [002] 41 poly silicon 41 Chemical Mechanical Planarization 41 MAX# integrates 41 CMOS imager 41 metallization 41 planar CMOS 41 imec 41 FeRAM 41 BRF# 41 Solamet ® 41 femtosecond pulse 41 #mm fabs 41 micro machining 41 Extreme Ultra Violet 41 transistor leakage 41 pseudo SRAM 41 Santur Corporation 41 reactive ion 41 epitaxial deposition 41 k gate dielectrics 41 solution processible 41 photon detection 41 UVision 41 Double Patterning 41 ReRAM 41 Tetra Reticle Clean 41 conductive adhesives 41 stereolithography 41 wide bandgap 41 #Gbps Ethernet switch 41 PVC PE 41 e beam lithography 40 k gate dielectric 40 photolithography 40 ITRS roadmap 40 TCZ 40 MESFET 40 MetaPULSE 40 #mm wafers 40 HBLEDs 40 VQFN 40 Order Lawfulness Justice 40 leadframe 40 #μm thick [002] 40 microcavities 40 antireflective coatings 40 film transistors TFTs 40 #μm [001] 40 extendibility 40 silicide 40 glass substrate 40 etch deposition 40 MoSys Bandwidth Engine 40 SiP 40 thinner wafers 40 silicon substrates 40 CEVA Teak DSP 40 watt TDP 40 Lithography 40 HomePlug compatible 40 wire resistive touchscreen 40 darkfield 40 Ethernet MACs 40 package SiP 40 VCSEL 40 Helios XP 40 Progress Party FrP 40 RF Tuner 40 transparent conductive 40 crystallinity 40 Embedded Processors 40 nanolithography 40 photoresist 40 vertical cavity 40 microlithography 40 electron beam welding 40 thermal EMF 40 reconfigurable logic 40 laser micromachining 40 interposer 40 PolyJet Matrix TM 40 FDSOI 40 4 x 4mm 40 LTPS TFT 40 ModBus 40 through silicon vias 40 Rapid prototyping 40 La#O# 40 Productivity NA NA 40 #GSE 40 Indium Phosphide 40 synchronous buck converter 40 mH 40 multijunction solar cells 40 #nm transistors 40 CIGS PV 40 temporary wafer bonding 40 Intellon INT# 40 MALDI 40 photoresist strip 40 UV curing 40 Conduction Cooled 40 ARM# [001] 40 opto electronic 40 Inkjet printing 40 RGMII 40 shorter wavelengths 40 micrometer thick 40 WLCSP 40 austriamicrosystems Introduces 40 deep sub micron 40 Ethernet PHY 40 8bit MCUs 40 millimeter wafers 40 lithography simulation 40 VVD CDA 39 indium arsenide 39 barium titanate 39 TSV 39 Spintronic 39 Copolymer 39 SiTime 39 PZT 39 wafers 39 imprint lithography 39 NAND Flash 39 Phoseon Technology 39 IQ Aligner 39 chemical vapor deposition 39 LCOS 39 silicon photonic 39 FDS FSC 39 SoC Designs 39 III nitride 39 indium tin oxide ITO 39 inorganic semiconductors 39 logic CMOS 39 magnetron sputtering 39 silicon foundries 39 fused quartz 39 ferrite 39 dielectric layers 39 vapor deposition 39 NuFlare 39 CMOS MEMS 39 additive fabrication 39 RF Transceiver 39 photopolymers 39 PXI PCI 39 nm node 39 Application Specific Integrated Circuits 39 #mm wafer 39 inkjet printhead 39 Imprio 39 D2PAK 39 WiCkeD 39 Windeo 39 STT RAM 39 metallisation 39 EPIA 39 Focused Ion Beam 39 engineered substrates 39 Richard Brilla CNSE 39 codenamed Llano 39 antimonide 39 leadframes 39 Demodulator 39 Plasmonic 39 CMOS RF transceiver 39 conductive coatings 39 silicon DRIE 39 Ethernet Serial ATA 39 DePON 39 emitting laser VCSEL 39 laser sintering 39 CMP consumables 39 varactors 39 Freescale Infineon 39 leadless 39 XWAY ARX# 39 fabless analog 39 nanostructured silicon 39 MEMS resonators 39 #BASE 39 wafer processing 39 GHz RF transceiver 39 Silicon Germanium SiGe 39 Photomask 39 nitride 39 secretary Ronald Pofalla 39 nm DRAM 39 optical metrology 38 #nm photomask 38 independent candidate Gulamhuseyn 38 atomic spectroscopy 38 optical components 38 Kodak Versamark 38 line FEOL 38 Grätzel cells 38 Sokudo 38 DRIE 38 rigid substrate 38 silicon wafer 38 k1 38 epiwafer 38 Calibre LFD 38 SUSS 38 transistor circuits 38 carbon nanotube CNT 38 SDKU 38 mm fabs 38 circuit MMIC 38 photonic integrated circuits 38 DPAK 38 photomasks 38 MOS transistor 38 Tayfun Icli 38 ADSL VDSL 38 CMOS transistor 38 MB DDR 38 SSOP packages 38 CMOS compatible 38 parametric yield 38 gallium nitride GaN 38 nonpolar 38 photoluminescence 38 bit delta sigma 38 wafer fabs 38 Capacitors II 38 @ Bjorn3D 38 nanometric 38 Socialist Party SP 38 ARPES 38 und Forschung 38 centrist D# 38 optical coatings 38 aspheric 38 defectivity 38 sapphire substrate 38 ferroelectric random access 38 Timbre Technologies 38 #dBm output 38 2Xnm 38 Encapsys 38 Stratasys FDM 38 fabs 38 semiconductor metrology 38 MSM# TM MSM# TM 38 optomechanical 38 dielectric materials 38 micromirror 38 Molykote 38 gallium arsenide 38 EUV Lithography 38 VINAX 38 optical 38 Merkel CDU CSU 38 antifuse 38 J STD #C 38 crystalline silicon solar 38 Succinate 38 Toppan Photomasks 38 NTSC PAL SECAM 38 accuracy repeatability 38 Catalonia Convergence 38 reflow soldering 38 sapphire wafers 38 focused ion beam 38 brightfield 38 underfill 38 Motherboard Review 38 Low Noise Amplifier 38 spintronic 38 nanopositioning 38 embedded nonvolatile memory 38 electroluminescence 38 Tokyo Electron Limited 38 substrates 38 PVD CVD 38 nanoimprint lithography 38 femtosecond 38 GaAs 38 #mΩ [002] 38 power transistors rectifiers 38 nano patterning 38 Microwave Radios 38 Therma Wave 38 metrology 38 gate electrode 38 List Pim Fortuyn 38 gallium nitride 38 metallic interconnects 38 photonic devices 38 DB# [002] 38 silicon MEMS 38 #GBase 38 MB#F# 38 CVD diamond 38 quantum dot lasers 38 laser diode 38 Intermolecular 38 HamaTech APE 37 Functional Verification 37 NSP Anandidevi 37 ZMD AG 37 PCB layout 37 magnitude EVM 37 systems substrate bonders 37 photodiode 37 micro optics 37 Alanod Solar 37 analog circuitry 37 FTTH CPE 37 Freedom PVV 37 Synchronous DRAM 37 BCS# [002] 37 Silicon Via TSV 37 Obducat 37 People Party RKP 37 uniformity CDU 37 semiconductor fabs 37 sub micron 37 c Si 37 GPON SoC 37 Cadence Encounter digital 37 conformal coatings 37 ion beam 37 XFP SFP + 37 thermal inkjet 37 MB L2 Cache 37 surface passivation 37 folding boxboard 37 Wafer Level Packaging 37 conformal coating 37 sputter deposition 37 Aznar Popular 37 #nm geometries 37 #/#BaseT 37 Mainstream SATA SSDs 37 #K LEs 37 IDMs 37 Metal Programmable 37 epitaxial structures 37 Party MHP 37 DFM DFY 37 nanofilms 37 Geert Wilders PVV 37 Strained silicon 37 Microfluidic 37 electron mobility 37 PETG 37 reconfigurable computing 37 Alchimer 37 DECT CAT iq Module 37 silicon wafers 37 SPICE simulators 37 x ray optics 37 iTLA 37 Maskless Lithography 37 antireflection coating 37 Infineon Technologies # IFX 37 wafer thinning 37 halftone 37 optoelectronic 37 integrable tunable laser 37 Raman lasers 37 nanofilm 37 geometries shrink 37 flexible substrates 37 monochromator 37 SMARTi 37 micromachined 37 flexo plates 37 Immersion lithography 37 PrPMC 37 GroenLinks 37 Nova NanoSEM 37 Photonic crystals 37 Integrated Circuits 37 TSVs 37 silicon carbide 37 SRAM DRAM 37 nanoparticle inks 37 micromachining 37 electrodeposition 37 Fico Smer 37 electron beam lithography 37 MagneLine 37 homopolymers 37 acetal 37 CIGS solar cells 37 leftwing Greens GroenLinks 37 LSI TrueStore 37 lithographic techniques 37 chalcogenide 37 Terahertz 37 diode 37 millimeter silicon wafers 37 tetrahydrofuran THF 37 k dielectrics 37 correction OPC 36 trans impedance 36 Negevtech 36 Cadmium Telluride CdTe 36 photonic crystal 36 Scanning Probe Microscopy 36 ultrahigh resolution 36 MEMS fabrication 36 reticles 36 Laser VCSEL 36 semiconductor CMOS 36 HSS HSLS 36 CNT FED 36 Dimatix 36 PA6 36 Forum MDF 36 Sherpao PPP 36 Crolles2 36 manganite 36 #x#G [001] 36 ion implantation 36 Site Modem 36 Simulation Models 36 IMEC 36 polyimide 36 X ray microscopy 36 LayTec 36 NOR flash memory 36 Bayblend 36 Quadrature Phase Shift Keying 36 UV curable ink 36 RF CMOS 36 zeolite membranes 36 glass substrates 36 LiD 36 Henrik Lax 36 copper electroplating 36 solar cells 36 Mbps Powerline Communications 36 Entropic EN# 36 wafer probers 36 ion traps 36 offset litho 36 PP 36 As Evey uncovers 36 CDS PP 36 GDSII 36 ALLVIA 36 MEMS oscillator 36 BCM# integrates 36 helium ion 36 cytometry 36 integrated metrology 36 Lytvyn bloc 36 ASML lithography 36 Spherizone 36 Thinfilm 36 overlay metrology 36 X FAB maintains 36 JEOL 36 MPEG4 AAC 36 Animals PvdD 36 polyester PET 36 ECPR 36 Silicon Labs Si#xx 36 photovoltaics PV 36 CHP MHP 36 physical vapor deposition 36 PaxScan 36 Ecovio 36 furnaceware 36 SiPs 36 photon fluorescence 36 optical spectroscopy 36 wafer metrology 36 PO4 36 laser interferometer 36 semiconductor wafer 36 Voronin Communists 36 backplanes 36 chemically amplified 36 Novellus 36 microelectromechanical systems MEMS 36 Fractional N 36 Hitag 36 silicon micromachining 36 CMOS oscillator 36 CMP slurry 36 LDMOS 36 polypropylene resin 36 Spansion Logo 36 flexo printing 36 nonvolatile memories 36 IC packaging 36 HITOX 35 QAM quadrature amplitude modulation 35 inkjet printing 35 MEMS foundry 35 Laurent Malier CEO 35 polysulfone 35 VSC# VSC# 35 Processed Minerals 35 nanoindentation 35 SAFCO 35 EO EG 35 Selete 35 chip SoCs 35 opposition socialist PASOK 35 X ray diffraction microscopy 35 analog IC 35 organic photovoltaics 35 nanopatterning 35 UV inks 35 Si2 Low 35 carbon nanotubes CNT 35 carboxylic 35 scanning electron microscopes 35 SEMVision G4 35 homopolymer 35 microengineering 35 X ray metrology 35 leader Femke Halsema 35 stepper drives 35 Durethan 35 uniaxial strain 35 EUV mask 35 Donald Tusk Civic Platform 35 EPP 35 GaAs gallium arsenide 35 Boost Converter 35 picosecond lasers 35 SPICE simulator 35 nanoscale characterization 35 NodeB 35 lithographic processes 35 methoxy 35 SoC 35 alliance CDU CSU 35 semiconducting materials 35 Excellent SPÖ 35 Andrija Mandic 35 National Action PRIAN 35 inkjet inks 35 Inderwal 35 HVPE 35 Structured ASICs 35 EDA tools 35 Salih Kapusuz deputy 35 nanoarrays 35 Carl Zeiss SMT 35 DFEB 35 coated unbleached kraft paperboard 35 II NDSV 35 CMP slurries 35 LVPECL outputs 35 FEOL cleaning 35 microfabrication techniques 35 silicon nanocrystals 35 shrinking geometries 35 centrist Civic Platform 35 wafer bumping 35 Front BPF 35 TSMC 35 transparent electrode 35 Lal Chandra Kol 35 Glysantin 35 CIGS 35 #R #R #R [002] 35 sintering 35 multiscale 35 crystalline silicon 35 chipmakers 35 planar 35 #mm fab 35 Peasant Party 35 Copolymers 35 LA.OS 35 Maskless 35 transistor 35 Styrolux 35 PHY specification 35 Benoit Hamon 35 National Rally KOK 35 planarity 35 Ibarretxe Basque Nationalist Party 35 phosphors 35 Reference Platforms 35 RRAM 35 stereo CODEC 35 ε 35 Polycarbonate PC 35 LDPE LLDPE 35 Matchima Thipataya 35 Methacrylate 35 Jehanabad Gaya 35 semiconductor 35 Bios v 35 UNI XC 34 Phthalic Anhydride 34 Serbian Radical

Back to home page