correction OPC

Related by string. * CORRECTIONS . corrections . Corrections . CORRECTION . Correction . Correc tions : Corrections DOC . Bloomberg Correction Clarification . CORRECTION FROM SOURCE . downward correction . typo correction suggestion . wrinkle correction . Corrections spokeswoman Januari / OPCs : Opel Insignia OPC . Opel Corsa OPC . RET OPC . Corsa OPC . OPC UA . oropharyngeal candidiasis OPC * *

Related by context. All words. (Click for frequent words.) 66 mask aligners 65 computational lithography 63 parasitic extraction 63 e beam lithography 62 lithography simulation 62 reticle enhancement 62 YieldAssist 62 SynTest 61 nanoimprint 61 Calibre LFD 61 Aera2 61 geometries shrink 61 deep sub micron 61 darkfield 60 DEV DA TOMAR NEXT 60 backside illumination BSI 60 Synopsys IC Compiler 60 wafer metrology 60 Calibre xRC 60 DFM DFY 60 mask aligner 60 CMOS fabrication 60 provides rewriteable NVM 60 epi wafers 59 Invarium 59 X ray microscopy 59 HSIM 59 capacitive touch sensor 59 Photolithography 59 Luminescent Technologies 59 photodiode array 59 nano imprint lithography 59 PLL Noise Analyzer 59 iRCX format 59 Star RCXT TM 59 #.# micron CMOS 59 PeakView 59 nm CMOS process 59 nanopositioning 58 SOPC Builder 58 DPSK 58 routability 58 Cadence Encounter digital 58 deep silicon etch 58 embedded nonvolatile memory 58 DfM 58 FastSPICE 58 Star RCXT 58 MAX# integrates 58 CustomSim 58 DFT MAX 58 non dispersive infrared 58 accelerometer sensors 58 FineSim Pro 58 Encounter Conformal Constraint Designer 58 MEMS resonators 58 FastScan 58 equivalence checking 58 Cadence Encounter 58 deep submicron 58 Mode Simulation 58 UV NIL 58 DDR PHY 58 NSR S#C 58 Laser VCSEL 58 Virtuoso Multi 58 CPU emulation 58 CyberDisplay #K 58 NanoScope 58 mechanical polishing CMP 57 transimpedance amplifier 57 virtual prototyping 57 SOI CMOS 57 QT# [001] 57 TetraMAX 57 Rapid prototyping 57 BiFET 57 singulation 57 2Xnm 57 QMEMS 57 reed switches 57 SPICE simulator 57 EUV masks 57 CMOS compatible 57 SiliconSmart 57 Magma Talus 57 #nm CMOS [002] 57 Encounter Timing System 57 PCB layout 57 Sentaurus 57 NanoSim 57 ESL synthesis 57 ratiometric 57 WS CRDS 57 Mixed Signal IC 57 UMC #nm 57 DFEB 57 Vertical Cavity Surface Emitting 57 GenISys 57 StarRC Custom 57 Helios XP 57 laser triangulation 57 Silicon Germanium 57 Stratix II FPGA 57 silicon photonic 57 design kits PDKs 56 Aprio Technologies Inc. 56 algorithmic synthesis 56 MOS transistor 56 adaptive equalization 56 ARM7TDMI processor 56 triplexer 56 phototransistors 56 customizable dataplane processor 56 sampling oscilloscope 56 optical metrology 56 TestKompress 56 MOS transistors 56 Cadence SoC Encounter 56 SiliconSmart ACE 56 TCAD Sentaurus 56 monochromator 56 logic synthesis 56 FE SEM 56 opto electrical 56 DRC LVS 56 IC Validator 56 silicon MEMS 56 planarization 56 planarity 56 nano patterning 56 CMOS ICs 56 MTCMOS 56 FlexPhase 56 tunable filters 56 #nm immersion 56 FPGA synthesis tools 56 IntelliMAX 56 Powerful debug 56 Xpedion 56 imprint lithography 56 #nm #nm [005] 56 EUV lithography 56 ownership CoO 56 FotoNation FaceTracker 56 Evaluation Module 56 STARCAD CEL 56 Infiniium oscilloscopes 56 RedHawk SDL 56 QRC Extraction 56 reticle inspection 56 directly modulated lasers 56 sigma delta ADC 56 Synopsys PrimeTime 56 CMOS logic 56 tool suite WiCkeD 56 AMCC QT# 56 monolithic microwave integrated 56 x ray optics 56 ZenTime 56 #nm/#nm 56 CellMath IP 56 IBIS AMI 56 Phase Locked Loop 56 TEM STEM 56 wafer bonder 56 VECTOR Express 56 motion adaptive deinterlacing 56 Dual Frequency 56 Agilent DisplayPort 56 voltage CMOS 56 nm immersion 56 lithography 56 #nm node [002] 55 LSA#A 55 capacitive coupling 55 RET OPC 55 Reference Methodology 55 Josephson junction 55 PWM pulse width modulation 55 multiplexing capabilities 55 nanoimprint lithography NIL 55 nm nodes 55 FineSim SPICE 55 wafer thickness 55 photomasks 55 nano imprint 55 Tachyon OPC + 55 piezoresistive 55 leakage currents 55 Complementary Metal Oxide Semiconductor 55 HKMG technology 55 brightfield 55 terahertz imaging 55 Olympus SoC 55 Fractional N 55 SPICE simulation 55 etch deposition 55 Incentia 55 Mentor Calibre 55 Xtensa processors 55 SPICE accuracy 55 electromagnetic simulation 55 #nm immersion lithography 55 deconvolution 55 EUV mask 55 #nm LL 55 athermal 55 circuit MMIC 55 Clear Shape 55 Solido Variation Designer 55 5V CMOS 55 PIN photodiode 55 SoC Encounter 55 RFIC simulation 55 submicron 55 PIN photodiodes 55 transistor arrays 55 DSP algorithm 55 MALDI-TOF/TOF 55 pulse width modulation 55 dielectric etch 55 RTL Compiler 55 NDIR 55 Strained silicon 55 optical waveguides 55 CMOS RF transceiver 55 TTL CMOS 55 dual damascene 55 nanomechanical 55 Analog FastSPICE 55 Wavefront Coding 55 HSPICE R 55 Cadence QRC Extraction 55 8bit MCU 55 J BERT 55 nm lithography 55 #.#um CMOS 55 photomask 55 GaAs HBT 55 planar CMOS 55 Force Microscopy 55 opto mechanical 55 Intelli DDR3 55 SiGe bipolar 55 indium gallium arsenide InGaAs 55 Talus Vortex 55 QT# [002] 55 Analog FastSPICE Platform 55 QuickCap NX 55 debug characterization 55 SiON 55 laser interferometer 55 3Xnm 55 BiCMOS 55 microvia 55 threshold voltages 55 Physware 55 #nm VCSEL [001] 55 thermo mechanical 55 EDXRF 55 Altera FPGAs 55 piezoelectric transducer 55 insulator SOI technology 55 DongbuAnam 55 #nm lithography [001] 55 nanopositioning stages 55 IC Compiler 55 iCoupler 55 model A# 55 stylus profilers 55 RASER 55 LDO voltage regulator 55 diffractive optics 55 coupling capacitors 54 tunable filter 54 Calibre PERC 54 Intel IBIST 54 micro machining 54 #/#-nm 54 Actel Fusion 54 Bipolar CMOS DMOS BCD 54 Cadence Virtuoso 54 Virtuoso AMS Designer 54 PrimeYield 54 x ray microscopy 54 #nm #nm [002] 54 Dektak 54 metallisation 54 MirrorBit ORNAND 54 photomultipliers 54 biophotonic 54 Cadence Encounter RTL Compiler 54 #G DQPSK 54 wafer probers 54 #nm wavelength [002] 54 nanometer CMOS 54 photolithography 54 Kilopass XPM 54 impedance measurements 54 magnetic rotary encoder 54 SMIC #.#um 54 Fusion MPT 54 HID proximity 54 serializer deserializer SERDES 54 photonic integrated circuit 54 ARM# MPCore processor 54 AFS Nano SPICE simulator 54 polar modulation 54 silicon debug 54 AFS Nano 54 microfocus X ray 54 logic CMOS 54 smaller geometries 54 wavelength tunability 54 serdes 54 Oxide Silicon 54 silicon germanium SiGe 54 deep submicron CMOS 54 GNSS receiver 54 inertial sensor 54 BEOL 54 microspectroscopy 54 Magma EDA software 54 PHEMT 54 rotary axes 54 Fabry Perot 54 aspheric 54 optical interconnections 54 rotary encoder 54 SIMOX 54 stereo codec 54 PIN diode 54 MCP# AFE 54 SoC Designer 54 CMOS transistors 54 IQ Aligner 54 parasitic capacitance 54 ARM#EJ processor 54 maskless lithography 54 Double Patterning 54 MSn 54 RealTime Designer 54 equivalence checker 54 using CMOS BiCMOS 54 Xtensa processor 54 Design Compiler topographical 54 ultrahigh resolution 54 CVD etch 54 edge roughness LER 54 ARM9 core 54 GammaTag 54 C4NP 54 Verisity Ltd. 54 logarithmic amplifiers 54 LPCVD 54 linearization 54 IEEE #.# JTAG 54 MicroBlaze processor 54 Blast Fusion 54 microcavities 54 ANTARES 54 Analog FastSPICE ™ 54 magnetostrictive 54 spectroradiometers 54 electron optics 54 RTL synthesis 54 Fast SPICE 54 capacitive sensor 54 XT #i 54 Stratix II FPGAs 54 PowerPro MG 54 silicon etch 54 Helium Ion Microscope 54 Nanoindentation 54 AMBA protocol 54 EM simulation 54 optical sectioning 54 jitter wander 54 FDSOI 54 magnetic encoder 54 nanoscale characterization 54 Cadence Incisive 53 downconverter 53 absorption spectroscopy 53 monochromators 53 LiNbO3 53 Specman Elite 53 #Gbps transceivers 53 manufacturability DFM 53 bisulfite sequencing 53 magnetron sputtering 53 thermal foldback 53 #.# micron node 53 photon detectors 53 ASSET ScanWorks 53 PIN diodes 53 low k dielectrics 53 optical transceiver modules 53 NovaMARS 53 TOF TOF 53 Anirudh Devgan general 53 photomask inspection 53 Chemical Mechanical Polishing 53 nanowire transistors 53 Logic Navigator 53 wafer bonding 53 backside illumination 53 EVG# 53 HRTEM 53 SignalExpress 53 FPGA architectures 53 Calibre xACT 3D 53 photolithographic 53 Opti Probe 53 photon detection 53 interferometric 53 manufactures integrated circuits 53 ISE #.#i 53 5μm 53 USB PHY 53 monolithically integrated 53 #nm #nm [004] 53 ViSmart viscosity sensor 53 CoWare Platform Architect 53 HardCopy II 53 NuFlare 53 Immersion Lithography 53 Synopsys Galaxy 53 high voltage BCDMOS 53 Silicon Frontline 53 extendibility 53 optically pumped 53 EMCCD 53 #nm RF CMOS 53 HV CMOS 53 nm VCSEL 53 electromigration 53 CTO recanalization 53 organic TFTs 53 IGBT Insulated Gate 53 tolerancing 53 SIwave 53 photon counting 53 Synopsys DFM 53 laser spike anneal 53 millisecond annealing 53 thinner wafers 53 epitaxial layer 53 Ember ZigBee 53 Encounter Conformal 53 fluorescence detection 53 photoluminescence 53 '# patent relates 53 LTQ Velos 53 nm DRAM 53 Airborne Particle Sensor 53 RSoft 53 HyperLynx 53 ATopTech 53 Immersion lithography 53 Calibre DFM 53 GNSS Receiver 53 coupled inductor 53 optical aberrations 53 FD SOI 53 chip SoCs 53 TrueFocus 53 BIST 53 SAW filter 53 semiconducting nanowires 53 photodetectors 53 sub micron 53 Tetra Reticle Clean 53 finite element modeling 53 kit PDK 53 SAR ADC 53 VeloceRF 53 layer deposition ALD 53 uncooled infrared 53 frequency synthesizer 53 XFP module 53 VisuMax 53 CMOS MEMS 53 capacitive sensors 53 optical microscopy 53 Verisity logo 53 SoC Realization 53 LC MALDI 53 electrochemical sensor 53 wafer prober 53 oxide semiconductor 53 manufacturability 53 Finite Element Method 53 z axis 53 Sequence PowerTheater 53 #.#μm CMOS process 53 Impinj AEON 53 MoSys Bandwidth Engine 53 WLCSP 53 #.#μm [002] 53 PSpice 53 extreme ultraviolet lithography 53 Configurable Logic 53 PID controller 53 LTQ Orbitrap Velos 53 spiral inductors 53 VCSELs 53 Altera Stratix II 53 Energetiq 53 #/#-nanometer 53 MB#K# 53 extreme ultraviolet EUV 53 micromechanical devices 53 Inc. Nasdaq SYNP 53 Wafer Level Camera 53 ANSYS Workbench platform 53 #nm geometries 53 through silicon vias 53 multiplex assays 53 overmolding 53 photodiode 53 LADAR 53 CCD CMOS 53 RFCMOS 53 1T FLASH 53 scatterometry 53 optimization algorithms 53 pHEMT 53 AMS RF 53 CMP consumables 53 Xgig 53 interferometry 53 double patterning lithography 53 piezo ceramic 53 8bit MCUs 53 epitaxy HVPE 53 SHELLCASE 53 RFIC design 53 #nm silicon 53 SoCs ASICs 53 fluorescence excitation 53 opto 53 #nm node [001] 52 Ge substrates 52 deformable mirrors 52 Avalanche Photodiode 52 FPGA prototyping 52 nanopatterning 52 dimensional metrology 52 optical biosensors 52 Smart Stacking TM 52 Insulated Gate Bipolar Transistor 52 HKMG 52 Agilent #A [001] 52 #nm laser [002] 52 micro optics 52 multiphoton microscopy 52 level synthesis HLS 52 complex SoC designs 52 nMOS 52 #bit MCUs 52 Proteus LRC 52 GHz chipsets 52 automated wafer bonding 52 Silicon CMOS Photonics 52 J#Ex 52 manganite 52 PROLITH 52 micromirror 52 CoWare ESL 52 Synopsys DesignWare IP 52 Optical Profiler 52 metallic interconnects 52 Wafer Level Packaging 52 RFMD GaN 52 SpyGlass ® 52 FineSim 52 JFET 52 millisecond anneal 52 Codenomicon DEFENSICS 52 micro electromechanical 52 synthesizable IP 52 nanometer nm NAND flash 52 directional coupler 52 MEMS oscillator 52 Micro electro mechanical 52 VMM methodology 52 microbolometers 52 fast Fourier transform 52 reticles 52 CMOS imager 52 GDSII 52 wirebond 52 nanoelectronic devices 52 Synplify Premier 52 Insulator SOI 52 SPICE simulators 52 powder diffraction 52 Agilent EXA 52 ArF dry 52 nanoindentation 52 laser scribing 52 strain gage 52 ITRS roadmap 52 coplanarity 52 sub microsecond 52 IC Compiler #.# 52 nanolithography 52 microwave integrated circuits 52 die bonder 52 Cypress WirelessUSB 52 broadly tunable 52 ProCAST 52 nanometric 52 SiPs 52 coprocessing 52 sequential clock gating 52 CellMath Designer 52 Differential Signaling 52 transimpedance 52 DPLL 52 surface plasmon resonance 52 SensArray 52 epitaxial structures 52 SmartFactory system 52 tunable optical 52 hydride vapor phase 52 TSMC #nm process 52 nanoimprint lithography 52 ion traps 52 Peregrine UltraCMOS 52 inertial MEMS 52 Mentor Questa 52 triaxial accelerometer 52 Agilent MXA 52 TetraMAX ATPG 52 IQ modulator 52 TSMC AMS 52 quadrature modulator 52 Vdd 52 Virtuoso IC 52 adiabatic 52 PureTime 52 PowerTheater 52 surface plasmon resonance SPR 52 furnaceware 52 FPGA ASIC 52 TappingMode 52 multichip 52 Pin Scale 52 colorimetry 52 fix TTFF 52 sensorless 52 RF transistors 52 BrilliantColor TM 52 biaxial 52 Altera Quartus II 52 InAs 52 MALDI imaging 52 Y.# 52 CFD simulations 52 subwavelength 52 EBDW 52 microelectromechanical 52 Ethernet-over-SONET/SDH 52 wafer lithography 52 pMOS 52 micromechanical 52 ML#Q# 52 parameter estimation 52 parametric yield 52 GDSII flow 52 #mm MEMS 52 reflectometry 52 mask reconfigurable 52 embedded DRAM eDRAM 52 Forte Cynthesizer 52 Fast Fourier Transform FFT 52 MEMS fabrication 52 silicide 52 Optigo 52 nm SRAM 52 memory BIST 52 Carbon nanotube 52 maskless 52 metastability 52 embedded EEPROM 52 linewidths 52 epitaxial graphene 52 triple quadrupole mass spectrometer 52 extreme ultra violet 52 unclonable 52 Smart Stacking 52 Quartz LVS 52 Quartz DRC 52 BLDC motor 52 #.#μm [001] 52 crystal oscillator 52 Mentor Graphics Calibre 52 Incisive Enterprise 52 spectrophotometry 52 ASML lithography 52 InP HBT 52 Repeatability 52 ultrasonic transducers 52 Calibre nmDRC 52 Serial Analyzer 52 Stratix III FPGAs 52 MunEDA WiCkeD 52 DesignWare Verification IP 52 EOAT 52 #.#μm CMOS 52 DQPSK 52 IBIS AMI models 52 Flow cytometry 52 helium ion 52 lithographic patterning 52 extruded profiles 52 deadtime 52 PCI Express specification 52 microstrip 52 microchannel plate 52 NTC thermistors 52 ConvergenSC 52 capacitive touch sensing 52 RTL verification 52 Magma Quartz DRC 52 NIRCam 52 IR spectroscopy 52 Xtensa LX processor 52 sampling oscilloscopes 52 DCG Systems 52 FPGA prototypes 52 PowerPro CG 52 photoresists 52 parameterisation 52 standalone metrology 52 wavefront 52 UCC# 52 photoresist 52 Raman amplifiers 52 Serdes 52 #.#mm# [001] 52 inductive sensors 52 lasing wavelength 52 Plasmonic 52 circuit IC 52 Virage Logic SiWare 52 PulSAR 52 nanoscale patterning 52 SHELLCASE MVP 52 EMI electromagnetic interference 52 loopback mode 52 delta sigma 52 SpyGlass 52 ChemetriQ 52 analog circuitry 52 accuracy repeatability 52 insulator wafers 52 silicon oscillators 52 Avago APDS 52 S#C# 52 TGA# SL 52 Fourier transform infrared 52 RF SiP 52 Stratix II GX 52 Denali PureSpec 52 phototransistor 52 photodetector 52 #.#μ 52 ETMemory 52 SystemC synthesis 52 SD OCT 52 Synchro Resolver 52 RFIC 52 DSi etch 52 AVR ONE 52 nitride semiconductor 52 dielectric materials 51 postprocessing 51 CMOS 51 PWM frequency 51 solariX 51 Gallium Arsenide GaAs 51 CMOS RF CMOS 51 numerical aperture NA 51 Dip Pen Nanolithography ® 51 defectivity 51 CryptoRF 51 tapeout 51 Translucent Mirror Technology 51 rheometer 51 copper metallization 51 nanometer silicon 51 multipole 51 silicon Mach Zehnder 51 tunable RF 51 resonant cavity 51 Mask Aligner 51 airborne lidar 51 DesignWare PHY IP 51 DiCon 51 X ray diffraction microscopy 51 AlGaInP 51 photoresist coaters cleaners 51 ArF immersion lithography 51 interposers 51 #bit ADC 51 AlGaAs 51 SystemC transaction 51 Silego 51 jitter measurements 51 rapid prototyping RP 51 Softransceiver 51 SiGe C 51 logic NVM 51 jitter measurement 51 TWINSCAN XT #i 51 OLED microdisplay 51 planar waveguide 51 microbolometer 51 Nios processor 51 Cortex M3 core 51 optocoupler 51 SystemC models 51 Correlix Latency Intelligence 51 mux demux 51 About Xilinx Xilinx 51 CMOS scaling 51 ionization mass spectrometry 51 CCD imagers 51 2D imager 51 optical encoders 51 SLEC RTL 51 Measurement Studio 51 multipath mitigation 51 BioScope II 51 CMOS silicon 51 FPGAView software 51 ferrite beads 51 SOC designs 51 Vsby 1 51 mesoscopic 51 Electrografting 51 ARChitect 51 Brion Technologies 51 chemometrics 51 reflow solder 51 MAPPER 51 Indium Phosphide 51 ASIC FPGA 51 reactive ion 51 switched capacitor 51 CMOS photonics 51 LatticeEC 51 nano fabrication 51 GigE Vision cameras 51 HPLC-Chip/MS 51 Atmel ATmega#RFA# 51 Broadcom Completes Acquisition 51 SourceMeter 51 collimation 51 CIGS solar cell 51 Denali Blueprint 51 SystemC simulation 51 TSMC #nm [001] 51 Sitrans 51 Field Effect Transistors 51 nanoliter scale 51 solder bump 51 ArF 51 XFP modules 51 vertical cavity 51 PureSpec 51 RTL simulation 51 1μm 51 ASIC SoC 51 UV Visible 51 parameterization 51 toric lens 51 FT IR 51 SystemC modeling 51 self calibrating 51 photoresist stripping 51 lamp ballasts 51 Ultrasonic sensors 51 Novellus SABRE 51 Flex OneNAND 51 LTR# 51 Scanning Probe Microscopes 51 MSC.Marc 51 ferrite materials 51 electron tunneling 51 wafer foundries outsource 51 microelectronics packaging 51 vectorless 51 silicon photovoltaics 51 microRNA profiling 51 Single Photon Emission Computed 51 DSP Builder 51 #/#nm 51 Verification Methodology 51 bronchoscopic 51 shortwave infrared 51 #nm CMOS [001] 51 FloTHERM 51 PHY layer 51 #um [002] 51 fluorescence spectroscopy 51 industrial inkjet printing 51 package SiP 51 TxDAC 51 MirrorBit NOR 51 LightGAIN 51 nanoimprinting 51 md5 checksums 51 Synopsys VCS 51 partial reconfiguration 51 mask ROM 51 Inc SNPS SNPS 51 ScanWorks platform 51 Nova NanoSEM 51 RF Microwave signal 51 OptiCell 51 custom ASICs 51 Agilent SurePrint 51 X ray absorption spectroscopy 51 Deep Reactive Ion Etch 51 SystemC TLM 51 UWB WiMedia 51 PSMs 51 confocal 51 E StaX 51 iridix 51 optical lithography 51 Metrology System 51 #.#um [001] 51 BCDMOS 51 Cynthesizer 51 AFS Platform 51 ClinProt 51 Zenasis 51 dual polarization quadrature 51 dielectric layer 51 HEMT 51 temporary wafer bonding 51 cytometry 51 InShape 51 Manufacturability DFM 51 holistic lithography 51 pellicle 51 programmable chip SOPC 51 SADP 51 MS#A 51 ceramic capacitor 51 DAPDNA 51 Vistec Semiconductor Systems 51 CMOS oscillators 51 JTAG Boundary Scan 51 Intel #P chipset [001] 51 MicroLens 51 terahertz spectroscopy 51 #K CPS 51 Elpida #nm 51 microfocus 51 ADI iCoupler digital 51 control metrology defect 51 QualiPHY 51 DIGIC III Image Processor 51 microelectronic components 51 gate dielectrics 51 multilayer ceramic 51 Silterra Malaysia Sdn 51 servo controller 51 Beamline #.#.# 51 Photonic crystals 51 EO polymer 51 CoolTime 51 power amplifier linearization 51 IEEE #.# standard 51 optoelectronic packaging 51 flexible monolithically integrated 51 secureAVR 51 VeraTag 51 Bio Plugin TM 51 OptoCooler 51 Certess 51 CMOS transistor 51 Schottky barrier 51 piezoelectric actuator 51 Imprio 51 Ion Trap 51 Questa functional 51 Agilent U#A 51 silicon waveguide 51 optically coupled 51 semiconductor optoelectronics 51 ferromagnetic materials

Back to home page