Related by context. All words. (Click for frequent words.) 77 nm 69 #nm [001] 65 #nm [003] 64 #.#μm [002] 63 #nm #nm [002] 62 #nm wavelength [001] 62 #nm CMOS [001] 61 micron 61 #.#μm [001] 61 #nm nodes 60 1nm 60 nm nodes 60 nanometer 60 #.#nm [001] 59 5nm 59 #nm SOI 59 2nm 59 #GHz [001] 59 #.#um [001] 59 #.# micron CMOS 58 SiON 58 nm CMOS 58 #.# micron node 58 #.#um [002] 58 Vsby 1 58 #μm [002] 58 #mW [001] 57 #nm RF CMOS 57 nanometer silicon 57 #nm node [001] 57 nm wavelengths 57 #nm immersion 57 #μm [001] 57 #.#nm [003] 56 mW 56 #nm wavelengths 56 3nm 56 μm 56 InGaN 56 #nm silicon 56 nm CMOS process 56 #nm laser [001] 56 AlInGaP 56 #.#μ 56 nm wavelength 56 #nm laser [002] 56 VCSELs 56 TSMC #nm process 55 ArF immersion lithography 55 CMOS 55 VCSEL 55 silicon germanium SiGe 55 #.#μm CMOS process 55 ArF 55 immersion lithography 55 nanometer nm 55 CMOS transistors 55 nonpolar GaN 55 #.#u 55 wph 55 Mbit SRAMs 55 5μm 55 AlN 55 JFET 55 #.#nm [002] 55 ASML TWINSCAN 55 #mm ² [002] 55 SFP + transceivers 55 CMOS processes 54 laser diode 54 photolithography 54 #um [002] 54 BiCMOS 54 wavelength tunability 54 mm ² 54 #/#nm 54 InGaAs 54 nanometer wavelength 54 FinFET 54 High Voltage CMOS 54 GaN HEMT 54 #nm node [002] 54 lasing wavelength 54 FDSOI 54 #nm #nm [005] 54 #Gbps Ethernet switch 54 #x#mm [002] 54 EUV lithography 54 2μm 54 #nm CMOS [002] 53 singlemode 53 HEMT 53 nanometers nm 53 emission wavelength 53 1μm 53 pMOS 53 UltraCMOS 53 argon fluoride 53 #mW [002] 53 LSA#A 53 k dielectric 53 nanometer node 53 hafnium oxide 53 picosecond 53 deep submicron CMOS 53 MESFET 53 MOS transistor 53 Vertical Cavity Surface Emitting 53 HEMTs 53 MOS transistors 53 linewidths 53 photolithographic 53 .# micron 53 TSMC #nm [001] 53 pulsed lasers 53 #.#W [001] 53 pHEMT 53 oxide thickness 53 GaN wafers 53 #Gbit s [001] 53 HfSiON 53 #GB RDIMM 53 #nm DRAM 53 #nm/#nm 53 nm lithography 53 ARM#EJ processor 52 ZnSe 52 silicon Si 52 #nm lithography [001] 52 #.#x#.#mm 52 GbE PHY 52 laser diodes 52 optical lithography 52 epiwafers 52 nautical miles nm 52 vertical cavity 52 5V CMOS 52 #mm ² [001] 52 MWIR 52 Gb NAND 52 FinFETs 52 nanometer CMOS 52 photodiode 52 phototransistors 52 #lm [002] 52 BCDMOS 52 EUV resists 52 DMOS 52 optically coupled 52 InGaP HBT 52 nm node 52 nitride semiconductor 52 EUV 52 CMOS compatible 52 microwatt 52 pellicle 52 InP 52 computational lithography 52 RV# GPU 52 lithography 52 SiGe C 51 #nm #nm [003] 51 mosfet 51 #ns [001] 51 breakdown voltages 51 #.#um CMOS 51 UMC #nm 51 CMOS IC 51 GaN 51 SOI CMOS 51 high voltage BCDMOS 51 #nm photomask 51 Nd YAG 51 e beam lithography 51 microchannel plate 51 6nm 51 AlGaN 51 6T SRAM 51 wavelength tunable 51 8nm 51 capacitances 51 diode laser 51 transistor 51 #Gb s [001] 51 linearly polarized 51 Double Patterning 51 AIX #G# 51 #nm immersion lithography 51 numerical aperture NA 51 geometries shrink 51 #.#μm CMOS 51 epitaxy 51 ACPL K# 51 #/#-nanometer 51 meV 51 SAR ADC 51 photon detection 51 photoresists 51 multimode optical fiber 51 nanometers 51 smaller geometries 51 CMOS fabrication 51 CMOS logic 51 nm immersion lithography 51 CMOS RF CMOS 51 #nm fabrication 51 silicon substrates 51 SiC 51 aluminum nitride 51 femtosecond pulses 51 laterally diffused metal 51 DPSS laser 51 transistor pHEMT 51 #.#Gbps [004] 51 #.#mm# [001] 51 μm diameter 51 photomasks 51 laser diode modules 51 gate dielectrics 51 nMOS 51 1W 51 InSb 51 optical amplifiers 50 CyberShuttle 50 cm ² 50 epitaxial 50 FWHM 50 logic CMOS 50 3GHz 50 Gallium Nitride 50 F3D 50 DongbuAnam 50 #Mbit [002] 50 multimode fiber 50 wafer thickness 50 #Msps [002] 50 optocoupler 50 mA 50 #mm# [002] 50 SiO 2 50 microcavity 50 sapphire substrate 50 AFBR 50 CMOS silicon 50 transceiver modules 50 epi wafers 50 SOI wafers 50 Flexfet 50 TQFP packages 50 DPSK 50 SIMOX 50 ultraviolet laser 50 PowerPAK 50 RFCMOS 50 GaN substrates 50 TSSOP 50 Optical Transceiver 50 gate electrode 50 XT #i 50 IGP chipset 50 microamperes 50 SiGe bipolar 50 bipolar transistor 50 DDR3 modules 50 Aluminum Nitride 50 photodetectors 50 embedded SerDes 50 brightfield 50 5mm x 5mm 50 PIN diodes 50 silicide 50 LWIR 50 violet laser 50 nickel silicide 50 AlGaInP 50 amorphous silicon 50 DDR2 DRAM 50 high-k/metal gate 50 Laser VCSEL 50 QCLs 50 planar 50 embedded DRAM 50 indium gallium phosphide InGaP 50 5mW 50 1GHz 50 #.#uA 50 electron mobility 50 SMD LEDs 50 DiCon 50 SiC Schottky diodes 50 SMIC #.#um 50 extreme ultra violet 50 Photolithography 50 mm wafer 50 #GHz [002] 50 Gb DDR3 50 nitride 50 nanoimprint 50 quantum dot lasers 50 serial EEPROMs 50 UV LED 50 #MSPS 50 #lm [001] 50 SOI substrates 50 Imprio 50 Mini DIL 50 micron thick 50 IOP# 50 MOSFETs 50 XFP modules 50 transistor HEMT 50 PIN photodiodes 50 #nm wavelength [002] 49 ps nm 49 substrates 49 UMC #.#um 49 Oxide Silicon 49 6GHz 49 DUV 49 nms 49 ARM#EJ S processor 49 #nm chips 49 #nm SoC 49 #bit ADCs 49 SiGe BiCMOS 49 Fabry Perot 49 Bragg grating 49 SiC substrates 49 #LP [002] 49 silicon 49 selective emitter 49 k gate dielectric 49 μW 49 serial transceivers 49 GaN HEMTs 49 #mA [001] 49 #Gbps [001] 49 sSOI 49 ellipsometry 49 nm immersion 49 LQFP 49 #pin [001] 49 Silicon Oxide Nitride 49 WLCSP 49 mm BGA 49 uW 49 Infinera PICs 49 Si substrate 49 #nm MLC 49 transceiver module 49 #ksps 49 TiN 49 SO8 49 UV VIS 49 DFB lasers 49 micron wafers 49 DWDM SFP 49 #-Gbit/sec 49 imprint lithography 49 #μm thick [002] 49 sub Angstrom 49 nvSRAM 49 GaAs MMIC 49 nm SOI 49 3mm x 49 epitaxial deposition 49 Stratix III FPGAs 49 #G/#G [001] 49 hydride vapor phase 49 nm VCSEL 49 nm geometries 49 embedded SRAM 49 OP# [003] 49 GaAs pHEMT 49 PHEMT 49 photodetector 49 TSMC #.#um 49 Gigahertz GHz 49 6W 49 #.#W [002] 49 shorter wavelengths 49 Mosfets 49 monolithic microwave integrated 49 amorphous silicon Si 49 AlGaN GaN 49 indium phosphide 49 #nm transistors 49 lm W 49 #x# mm [004] 49 mpm 49 leakage currents 49 gate dielectric 49 HKMG 49 x 9mm 49 mux demux 49 consumes #mW 49 Mbit densities 49 7mm x 7mm 49 Socket AM3 49 nano imprint 49 HfO2 49 SerDes 49 monolithically integrated 49 2Xnm 49 #GBASE LR 49 Aera2 49 pulsed laser 49 #nm #nm #nm 49 ARM9 core 49 voltage CMOS 49 emitting lasers 49 SWCNT 49 millimeter wafer 49 fpgas 49 embedded NVM 49 GaN LED 49 oxide semiconductor 49 Insulator SOI 49 #GBASE T PHY 49 Mbit 49 flexographic plates 49 InAs 49 defect densities 49 cm -2 49 InGaP 49 NiSi 49 #mm# [003] 49 AlGaAs 49 fluorophores 49 gallium nitride 49 absorption spectroscopy 49 photoresist 49 IMEC 49 BrightLase 49 indium gallium arsenide InGaAs 49 2Gb DDR3 49 Schottky 49 W mK 49 deep ultraviolet DUV 49 UV LEDs 49 indium phosphide InP 48 pin LQFP package 48 GaP 48 GaN LEDs 48 Digital Converter ADC 48 HDP CVD 48 gallium nitride GaN 48 SiP 48 GaAs FET 48 Opteron EE 48 sub micron 48 SerDes chipset 48 THz 48 MOSFET 48 Arria GX FPGAs 48 uniaxial strain 48 millisecond annealing 48 photonic crystal fibers 48 JFETs 48 nsec 48 2MHz 48 transistor leakage 48 EUVL 48 fiber lasers 48 1MHz 48 x 5mm 48 transceiver IC 48 sq. mm 48 nm spectral 48 #.#mA [003] 48 #ns [002] 48 AlN layer 48 #nm processors 48 singlemode fiber 48 1mm x 1mm 48 Micromorph 48 parasitic capacitance 48 waveguide 48 nm DRAM 48 UVTP 48 #Gbit [001] 48 photoemission 48 Cortex M0 48 carbon nanotube CNT 48 @ 6W processor 48 ZnS 48 X ray pulses 48 Elpida #nm 48 CRIUS 48 silicon photonic 48 NMOS 48 Pictiva 48 1Mbit 48 4Gbit 48 silicon oxynitride 48 YAG lasers 48 linewidth 48 avalanche photodiode 48 EUV masks 48 Lithium Niobate 48 submicron 48 Bipolar CMOS DMOS BCD 48 extreme ultraviolet EUV 48 Field Effect Transistor 48 shorter wavelength 48 OptoPHY 48 Laser Diode 48 #.#N [002] 48 DPSS lasers 48 dual damascene 48 XFP optical 48 QMEMS 48 insulator SOI technology 48 XPM 48 pin BGA package 48 W/cm2 48 GaAs InP 48 μm thick 48 mosfets 48 VCXO 48 #nm lithography [002] 48 scatterometry 48 crystalline Si 48 DDR2 memory controller 48 RFMD GaN 48 SRAMs 48 produced plasma LPP 48 GT# GPU 48 diode lasers 48 PCI Express PHY 48 copper metallization 48 CoolMOS 48 QFN packages 48 insulator wafers 48 LiNbO3 48 #.#Gbps [002] 48 LED emitter 48 laser diode module 48 silicon CMOS 48 HVICs 48 Complementary Metal Oxide Semiconductor 48 tunable transponder 48 #nm GPU 48 PBGA package 48 3Xnm 48 MOCVD 48 numerical aperture 48 photonic crystal fiber 48 cm -1 48 GX# [003] 48 micrometer 48 GaAs MESFET 48 optical waveguides 48 HBLED 48 #nm #nm [001] 48 Indium Phosphide 48 Fiber Bragg Grating 48 power dissipation 48 #Mx# [003] 48 UV flatbed printer 48 UV absorbance 48 line BEOL 48 #Kf 48 RRAM 48 #.#mm x #.#mm [003] 48 #nm #nm [004] 48 1mA 48 microbolometers 48 Embedded Array 48 microbolometer 48 EP#S# 48 diode 48 GaAs 48 SMT LEDs 48 Ultra Low Voltage 48 high-k/metal gate HKMG 48 PE# [001] 48 #.#W [003] 48 bipolar transistors 48 EDFAs 48 Schottky diode 48 ZMD# 48 Cortex A9 processor 48 TCXO 48 CMOS wafer 48 XFP transceivers 48 Virtex 5 48 Laser diodes 48 #oC [001] 48 silicon nanophotonic 48 substrate 48 Innolume 48 Epitaxial 48 Immersion Lithography 48 Silicon Germanium 48 MIPS# #K 48 #x# mm [003] 48 GaN layers 48 metallisation 48 Aixtron MOCVD 48 #Mbits [002] 48 eDRAM 48 silicon waveguide 48 SiC wafers 48 superlattice 48 transimpedance amplifier 48 fs pulses 48 lasing 48 brightness LED 48 #V MOSFETs [002] 48 reflow soldering processes 48 BEOL 48 μA 48 pin BGA packages 48 Flip Chip 48 MAX# MAX# [001] 48 um CMOS 48 quantum cascade 48 #.#mA [002] 48 7GHz 48 Femtosecond 48 #.#W [004] 48 2mm x 2mm 48 ultraviolet lasers 47 #Mbit [001] 47 Mosfet 47 #GBASE SR# 47 instrumentation amplifier 47 AlN substrates 47 ° C 47 4mm x 4mm 47 MOSFETS 47 optical waveguide 47 chipscale 47 triplexer 47 L#A [001] 47 CPC# [001] 47 Intel LGA# [001] 47 #bit ADC 47 nanometer lithography 47 #bits 47 #x#x# mm [003] 47 Tachyon OPC + 47 uncooled 47 undoped 47 SiWare 47 pin TSSOP 47 surface mountable 47 LPDDR2 DRAM 47 Cortex M4 processor 47 infrared LEDs 47 6mm x 6mm 47 HMC#LP#E 47 mm wafers 47 millimeter mm 47 Indium Phosphide InP 47 XLamp 47 #um [001] 47 k dielectric materials 47 #GBASE CX4 47 Application Specific Integrated Circuits 47 #-#,# mm 47 Co2 laser 47 voltage MOSFET 47 2G HTS wire 47 RF CMOS 47 Raman lasers 47 Intel XMP 47 silicon germanium 47 Nd YAG laser 47 Rambus XDR 47 multilayers 47 mW MHz 47 DFN package 47 HVPE 47 PWM controller 47 silicon photovoltaics 47 dielectric layers 47 SiGe 47 2Gbit 47 excitation wavelengths 47 solder bump 47 SOT# [001] 47 NanoBridge 47 GX FPGAs 47 thermal dissipation 47 Stratix IV FPGA 47 NanoScope 47 capacitance values 47 iCoupler 47 heat spreader 47 reactive ion 47 MB#K# 47 LEDs 47 #.#mm x [003] 47 bandgap 47 CMOS oscillators 47 8Gbit 47 #nm FPGAs 47 Ti sapphire laser 47 6mm x 47 oxynitride 47 monolithic CMOS 47 erbium 47 SoC 47 #us [001] 47 microns 47 Mini ITX motherboard 47 tunable laser 47 TDFN packages 47 #mm wafers 47 #GBASE 47 femtosecond 47 #K LEs 47 mask ROM 47 DQPSK 47 SiR#DP 47 copper interconnects 47 bit RISC CPU 47 electron optics 47 #.#mm ² 47 subwavelength 47 Aerosol Jet 47 8GHz 47 Serial EEPROMs 47 low k dielectric 47 Si substrates 47 pin 5mm x 47 DDR PHY 47 sputter deposition 47 Mach Zehnder modulator 47 Northbridge chipset 47 laser diode drivers 47 GaN layer 47 Uncooled 47 fxP 47 serdes 47 Low Leakage 47 mm2 47 PIN photodiode 47 Laser Diodes 47 #NM [002] 47 immersion litho 47 #nm NAND flash 47 #W [003] 47 darkfield 47 2GHz 47 CMOS transceiver 47 RDS ON 47 MirrorBit NOR 47 Gallium arsenide 47 LDMOS 47 ATtiny# 47 SFP + transceiver 47 DDR3 DIMMs 47 Structured ASICs 47 MSOP packages 47 indium gallium nitride 47 titanium sapphire 47 C0G 47 class #Gb NAND 47 SuperFlash 47 4Gb DDR3 47 PHY transceiver 47 #nm 2Gb 47 XC# [003] 47 Helios NanoLab 47 TrueStore 47 ADF# 47 StrataFlash 47 MTCMOS 47 VUV 47 emitting lasers VCSELs 47 1Mbyte 47 dopant 47 Gb s 47 BiFET 47 consumes #.#W 47 violet laser diodes 47 TetraMAX ATPG 47 k gate dielectrics 47 CVD diamond 47 Silicon Carbide 47 hyperspectral imager 47 dielectrics 47 microstrip 47 microampere 47 LED Illuminator 47 LCOS 47 baseband IC 47 5mm x 6mm 47 #nm geometries 47 nanochannel 47 Silicon CMOS Photonics 47 XFP transceiver 47 optical microscopy 47 antifuse 47 #.#V [001] 47 MicroLens 47 micromachining 47 pin PBGA package 47 TrenchFET 47 glass substrate 47 quantum dot 47 x 2mm 47 EUV mask 47 low k dielectrics 47 photoluminescence 47 MMICs 47 #-#-#-# timings 47 MPR# [003] 47 synthesizable cores 47 SiC substrate 47 QSFP + 47 magnetron sputtering 47 ATMEL 47 #μA [002] 47 MICRF# 47 PeakView 47 lithographic 47 TWINSCAN XT 47 HBLEDs 47 microwave frequencies 47 TGA# SL 47 threshold voltages 47 TDFN package 47 TSSOP# 47 kHz 47 3W LED 47 wafer dicing 47 pin QFN 47 multimode 47 CoO 47 silicon nanowire 47 BGA packages 47 epitaxial layer 47 asynchronous SRAM 47 #nm SRAM 47 PIN diode 47 femtosecond pulse 47 bandpass 47 8HP 47 Strained Silicon 47 doped silicon 47 wavelength lasers 47 QFN# package 47 epitaxy HVPE 47 Dektak 47 CMOS imager 47 eutectic 47 CMOS Photonics technology 47 OptiMOS 47 1W LEDs 47 Epson Toyocom 47 #.#v [001] 47 silicon substrate 47 FB DIMM 47 FeRAM 47 Gbits s 47 Nanometer 47 litho 47 μm wavelength 47 voltages 47 femtogram 47 Reference Methodology 47 picosecond lasers 47 μsec 47 GaN wafer 47 #.#Gbps [003] 47 LQFP# package 47 microwatts 47 TSMC #nm G 47 #:# LVDS 47 GaAs FETs 47 extreme ultraviolet lithography 47 Cadence Encounter RTL Compiler 47 Gbps transceivers 47 #MHz [001] 47 HCS# 47 laser micromachining 47 #nA [002] 47 polyimide 47 SHELLCASE 47 photonic crystal 47 SOI wafer 46 Silicon Germanium SiGe 46 multichip 46 #.#Gbit s [002] 46 DDR DRAMs 46 pin SSOP 46 DDR3 DRAM 46 4mm x 46 #m/min [002] 46 terahertz 46 DDR3 memory controller 46 epitaxial layers 46 pin QFN package 46 cathode materials 46 ± #.#dB 46 SO DIMM 46 watt TDP 46 #mA [002] 46 transistor arrays 46 xenon lamp 46 wafer diameters 46 DEV DA TOMAR NEXT 46 coupled diode laser 46 EO polymer 46 WL CSP 46 m 46 Avago ACPL 46 nanomesh 46 ULi M# 46 Vdd 46 5mm x 46 tapeouts 46 PAS# [002] 46 nano imprint lithography 46 #mm wafer 46 mW/cm2 46 LTPS LCD 46 Electron Mobility Transistor 46 MEMS resonators 46 #nm wafers 46 ultraviolet LEDs 46 #μA [001] 46 #mm x #mm [001] 46 LDMOS transistors 46 EMCCD 46 PHOLED 46 SO DIMMs 46 X ray microscopy 46 MB#R# 46 NOR Flash memory 46 #.#Hz 46 #x#mm package 46 rms noise 46 reticle inspection 46 Intersil ISL# 46 5Gb s 46 SKY# #LF 46 logic NVM 46 #W [001] 46 PT# Pro 46 micromirror 46 kbit 46 interferometry 46 optical transceivers 46 Phenom CPUs 46 4Mbit 46 TowerJazz 46 #.#uF 46 AFMs 46 Energetiq 46 AQ# [001] 46 EBDW 46 MAXQ# 46 capacitance 46 CMOS circuits 46 Intel Core2 Quad 46 #lm W [002] 46 tunable 46 #GbE switch 46 near infrared NIR 46 cmos 46 TSMC Nexsys 46 CdSe 46 pin MLF 46 #.#GHz [001] 46 millimeter silicon wafers 46 Terahertz 46 planar CMOS 46 ESD protection 46 Gb sec 46 ML#Q# 46 dielectric constant 46 Mach Zehnder 46 LTR# 46 pulse widths 46 through silicon vias 46 1Gbit s 46 MirrorBit Quad 46 Differential Quadrature Phase 46 organic TFTs 46 glueless interface 46 tunable lasers 46 iDP 46 SXGA 46 photolysis 46 #MS s [002] 46 QDRII 46 #mA [003] 46 fiber optic transceivers 46 conductivities 46 Corsair XMS2 46 mechanical polishing CMP 46 Mbit MRAM 46 microstepping 46 RGB LED